四选一多路选择器

本文介绍了如何使用Verilog HDL设计一个四输入多路选择器,通过输入信号d1、d2、d3和d0以及选择信号sel,实现根据sel的不同组合输出线网类型。状态转换和波形示意图帮助理解工作原理,适用于数字逻辑设计的基础教程。
摘要由CSDN通过智能技术生成

制作一个四选一的多路选择器,要求输出定义上为线网类型

状态转换:

d0→11
d1→10
d2→01
d3→00

信号示意图:

 

波形示意图:

 

输入描述:

输入信号   d1,d2,d3,d4 sel
类型 wire
 

输出描述:

输出信号 mux_out
类型  wire

题解:

`timescale 1ns/1ns  
module mux4_1(  
input [1:0]d1,d2,d3,d0,  
input [1:0]sel,  
output[1:0]mux_out  
);  
  
    reg [1:0] mux_out_tmp;  
    always@(*) begin  
        case(sel)  
            2'b00: mux_out_tmp = d3;  
            2'b01: mux_out_tmp = d2;  
            2'b10: mux_out_tmp = d1;  
            2'b11: mux_out_tmp = d0;  
            default: mux_out_tmp = d3;  
        endcase  
    end  
      
    assign mux_out = mux_out_tmp;  
  
  
endmodule  

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值