基于FPGA的数字频率计设计

第一部分:频率测量:

  1. 测量频率主要有两种方法:普通测量法以及等精度测量法

●FPGA普通测量法:在1s闸门时间内,记录被测信号的脉冲个数Fx,则被测频率为Fx=Nx,精度主要取决于被测信号频率,被测信号频率越搞,误差越小

●FPGA等精度测量法:本方案除给定闸门时间外,还由被测信号产生一路计数允许信号。计数允许信号在闸门时间内第一个被测信号的上升沿开启,在闸门时间结束后被测信号的第一个上升沿结束,最后在计数允许信号的有效时间内,分别对标准频率个数,被测信号个数,被测信号高电平时间内标准信号频率个数计数之后,再经过相关运算后即可得所求频率,占空比,时间间隔。

 由于等精度测量的误差相对较小,本实验采用等精度测量法。

二:实现方法及代码

1.实验代码:

//使用等精度测量法测量频率及周期
module freq(
input clk_fx									,   //输入待测信号
input clk_fs				
  • 8
    点赞
  • 87
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值