PWM开发SG90舵机

1. 简介
PWM,全称:Pulse Width Modulation,脉冲宽度调制缩写,它是通过宽度对一系列脉冲的宽度进行调制,等效出所需要的波形(包含形状以及幅值),对模拟信号电平进行数字编码,也就是说通过调节占空比的变化来调节信号、能量等的变化,占空比就是指在一个周期内,信号处于高电平的时间占据整个信号周期的百分比,例如方波的占空比就是50%。
简言之:

  • 脉冲宽度调制
  • 通过占空比编码模拟信号
  • 占空比(一个周期内,高电平占据时长的百分比)
    在这里插入图片描述

2.PWM如何实现信号输出

  • 通过芯片内部模块输出,一般观察手册或者芯片IO口都会标明这个是否是PWM口。如下图增强51,STC15w的CPU
    在这里插入图片描述
  • 若没有集成PWM功能,可以通过IO软件模拟,相对硬件PWM来说精准度略差。

3.舵机介绍
如图所示为sg90舵机,常见的有0-90°、0-180°、0-360°:
在这里插入图片描述

  • 如何控制:
    PWM波的频率大约50HZ,即周期=1/频率=1/50=0.02s=20ms

  • 确定舵机转动角度与PWM值之间的关系
    在这里插入图片描述
    简记为下图:
    在这里插入图片描述
    4.编程实现
    控制舵机每隔2s 0°,90°切换

#include "reg52.h"
sbit sg90_con = p1^1;
int angle;
int cnt=0;
//延时2s,利用STC-ISP软件延时生成
void Delay2000ms()		//@11.0592MHz
{
	unsigned char i, j, k;
	i = 15;
	j = 2;
	k = 235;
	do
	{
		do
		{
			while (--k);
		} while (--j);
	} while (--i);
}
void Time0Init()
{	//1.配置定时器0工作模式,16位计时
	TMOD = 0x01;
	//2.给初值,定一个0.5ms出来(利用STC-ISP生成)
	TL0 = 0x33;		//设置定时初值
	TH0 = 0xFE;		//设置定时初值
	//3.开始计时
	TR0 = 1;
	TF0 = 0//4.打开定时器0中断
	ET0 = 1//5.打开总中断EA
	EA  = 1}
//延时300ms
void Delay300ms()		//@11.0592MHz
{
	unsigned char i, j, k;
	i = 3;
	j = 26;
	k = 223;
	do
	{
		do
		{
			while (--k);
		} while (--j);
	} while (--i);
}
void main()
{
	Delay300ms();//让硬件稳定一下
	angle = 1; //初始角度是0度,0.5ms,溢出1就是0.5,高电平
	sg90_con = 1;//一开始从高电平开始
	//每隔2秒切换一次角度
	while(1){
		angle = 3; //90° 2ms高电平
		Delay2000ms();
		angle = 1;
		cnt = 0;	
		Delay2000ms();
	}
}
void Time0Handler() interrupt 1
{	
	cnt++; //统计爆表的次数,cnt=1的时候,爆表了1
	//重新给初值
	TL0 = 0x33;		//设置定时初值
	TH0 = 0xFE;		//设置定时初值
	//控制PWM波
	if(cnt < angle){
		sg90_con = 1;
	}else{
		sg90_con = 0;
	}
	if(cnt == 40){ //爆表40次,经过了20ms
		cnt = 0;   //当40次表示20ms,重新让cnt从0开始,计算下一次的20ms
		sg90_con = 1;
	}
}
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值