安路IP核仿真:testbench中加入glbl

项目场景&问题描述:

对TD的FIFO IP核进行仿真时,参照了这位大佬的 把TD的仿真库导入modelsim的文章
但是
无论怎么输入信号(图中的FIFOrd_en、FIFOwd_en、sys_clk、DATA等)
输出信号始终为高阻态Hizzzzzzzzzzzzzzzzz (图中的FIFOdata、FIFOempty、FIFOfull)

其他IP核也是。。。。。。。。。。。。。。。。。
这不是手写FIFO能解决的事。。。

在这里插入图片描述


原因分析:

modelsim的具体报错

当编译在这里插入图片描述
的时候,能start simulation

但是其实只应该加入FIFO_sim.v,这时候start simulation的报错如下

在这里插入图片描述


解决方案:

testbench需要调用glbl !!!!!!!!!!!!!!!!!!!!

//glbl Instantiate
glbl glbl();

文章的testbench的17行
在这里插入图片描述


另外一些细节:

1.最好把这个库一起导入EG4_ver,具体见文章

基于FPGA的DDS在Modelsim与TD的联合仿真(三)

在这里插入图片描述

2.仿真的时候不用你的IP核的.v文件了,用_sim.v即可

比如我就用FIFO_sim.v而非是FIFO.v了
在这里插入图片描述

  • 6
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: Cordic IP核是一种用于计算正弦和余弦函数的数学运算IP核。在进行Cordic IP核的sin/cos测试之前,我们需要编写一个测试台(testbench)来进行测试。 测试台的设计目标是在输入一组角度值后,计算出对应的正弦和余弦值,并与预期值进行对比,以确保Cordic IP核的功能正确性。 首先,我们需要确定测试的角度值范围。我们可以选择一个角度的正弦和余弦值是已知的,并以这个角度为心,测试一定范围内的角度值。例如,我们可以选择0°到360°之间的某个角度值进行测试。 测试台的流程如下: 1. 定义输入信号和预期输出信号。 2. 将输入信号传递给Cordic IP核,并接收其计算得到的结果。 3. 将Cordic IP核计算的结果与预期输出进行对比,检查它们之间的误差是否在可接受范围内。 4. 如果误差在可接受范围内,则测试通过,输出测试结果。 5. 如果误差不在可接受范围内,则测试失败,输出测试结果。 在测试台,我们可以通过生成随机角度值的方法,对Cordic IP核进行多组测试,以覆盖更广泛的角度范围。 测试台设计需要考虑的几个重要因素包括输入输出数据的精度、测试角度的覆盖范围和测试结果的判定标准。通过合理设置这些参数,我们可以编写一个有效的Cordic IP核sin/cos测试台,以确保IP核的正确性。 ### 回答2: Cordic IP核是一种用于计算三角函数的硬件核心,通过使用迭代方法来实现高效的计算。为了测试Cordic IP核的sin/cos功能,我们可以编写一个TestbenchTestbench的目的是验证Cordic IP核的输出结果是否正确。在Testbench,我们首先需要生成一系列的输入值作为测试用例,这些输入值可以按照一定的步长递增或随机生成。然后,将这些输入值送入Cordic IP核进行计算,得到对应的sin和cos值。 接下来,我们需要知道这些测试用例的预期输出值。我们可以使用软件或数学库函数计算标准的sin和cos值作为参考。然后,将Cordic IP核的输出结果与预期输出值进行比较。如果两者非常接近,即可认为Cordic IP核的sin/cos功能正确。 在Testbench,我们可以使用assert语句对比Cordic IP核的输出结果和预期输出值。如果两者不匹配,assert语句会给出错误提示。此外,我们还可以输出测试结果是否通过的信息,以便于判断Cordic IP核的性能和准确性。 最后,在Testbench我们还可以记录测试的运行时间以及任何其他有用的信息,以便于分析和评估Cordic IP核的性能。 总结起来,通过编写一个完善的Testbench,我们可以对Cordic IP核的sin/cos功能进行全面的测试和评估,确保其在实际应用的正确性和可靠性。 ### 回答3: Cordic是一种数字算法,通常用于计算三角函数(如sin和cos函数)。Cordic IP核是一种可以在FPGA实现Cordic算法的IP核。 进行Cordic IP核的sin/cos测试需要编写一个测试平台,也就是所谓的testbench。测试平台主要用于验证Cordic IP核的正确性和性能。 测试平台的第一步是生成输入信号,即角度(或弧度)的值。可以使用随机数生成器来生成不同的角度输入。然后将这些角度值提供给Cordic IP核进行计算。 下一步是为Cordic IP核建立期望输出。可以使用系统级建模(System-Level Modeling)来计算期望的sin和cos值。使用已知的数学公式,将输入的角度值代入,计算出对应的sin和cos值。 然后,将输入信号提供给Cordic IP核,将计算结果与期望输出进行比较。可以使用assert语句来进行比较。如果计算结果与期望输出相差很小,可以认为测试通过。否则,可能需要检查IP核的实现是否存在问题。 在测试过程,还可以记录每个输入角度和对应的计算结果,以便后续分析和调试。可以将这些记录在一个文件,或者将其显示在仿真工具的波形窗口。 测试完所有的输入角度后,可以进行一些统计分析,如计算平均误差、最大误差等。这些分析可以用于评估Cordic IP核的性能和准确性。 总之,Cordic IP核的sin/cos测试需要编写一个测试平台,生成输入信号,计算期望输出,并将计算结果与期望输出进行比较。通过分析比较结果,可以评估IP核的性能和准确性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值