7.Verilog中的assign语句

在Verilog中,针对wire类型变量进行逻辑操作,必须通过assign语句,主要用来将一个信号与一个表达式相连接。

详细举例如下:

wire    out1;

wire    a;

wire    b;


assign  out1 = a  &  b;

重点:assign语句中,输出out1必须是wire类型。

同时,assign还可以进行一些条件赋值语句


assign   out1 = (sel == 1'b1) ? a:b;

右边这个表达式其实就相当于if  else 语句,就表示,如果sel 这个信号为1'b1,
那么此时assign = a;  否则,assign = b;

这个表达式还可以进行嵌套:
assign  out1 = (sel == 2'd0) ? a  :
               (sel == 2'd1) ? b  :
               (sel == 2'd2) ? c  : d;

这个就表示,如果sel = 2'd0,此时out1 = a;
如果sel  = 2'd1 ,此时out1 = b;
如果sel  = 2'd2 ,此时out1 = c;
如果上面条件都不符合  out1 = d;

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值