vivado block design模块+自动生成顶层的设计方法

第一次接触block design是在学习ZYNQ期间,加入PS的IP核到bd里进行图形化开发,误以为bd里只能加入官方开发的ip。最近才意识到不但可以加入自制ip,甚至可以加入RTL模块开发。以前bd的教程总是围绕zynq展开,普通PL也都是自己写顶层,没有直接PL生成顶层的,所以写一篇。(虽然融会贯通很简单,但对初学者来说就不那么容易了)。

Block Design位置:vivado界面左侧的IP INTEGRATOR处。
创建一个新bd,添加rtl代码或者ip到工程。
在这里插入图片描述
如何把模块加入bd
bd空白处右键,选择Add Module/Add IP。若一个RTL下例化多个其他模块,只需要在bd加入最顶层那个。注意:如果在 点击后的弹窗 找不到已经添加进工程的模块,先检查路径是否有中文(会导致识别不到文件),再查看待模块内部子模块是否存在(比如内部在另一个工程例化了FIFO的ip,把模块拖到当前工程时没创建IP)。
在这里插入图片描述
如何添加输入输出引脚
右键端口信号,Make External,就会自动生成引脚。会自动分配引脚名。
在这里插入图片描述
修改方法是先左键点击引脚,在External Port Pr窗口修改(这个窗口之前一直被我习惯性忽略)。对于rst信号来说,这个窗口还可以修改极性,高有效或低有效,视开发板而定,一般都是低有效。
在这里插入图片描述
如何连接多个模块
鼠标点到小黑线上,光标会变成笔的样子,长按并拖拽到想连的其他模块信号上再次点击,连线完成。右键bd空白处选择End Connection Mode退出连线模式
在这里插入图片描述
连线完成后点击Validate Design验证是否有可行。很快。可行后仿真,写xdc,综合,实现,生成bit流。创建新顶层的工作顺手就帮你做了。

双击模块中间空白地方,弹出的界面可以修改定义的parameter参数。模块中间的RTL表示他能查看源文件,右键 go to source即可。
在这里插入图片描述模块中间空白表示他是ip核,官方或自制都有可能。
在这里插入图片描述
这种属于添加的连接模块,在axi总线里常见。
在这里插入图片描述
简单的使用就这么多,当然也有好多地方没说,不过只要做一个简单的工程就算入门,剩下的可以自己学了。

当设计模块越来越繁多,图形化可能是唯一的选择,bd可以清楚的看清信号走向,模块划分,自动生成顶层,极大提升效率,避免错误。鬼知道我有多少次因为顶层连线例化出错调试浪费一整天。
即使是单个模块的设计,我也推荐在bd中进行,原因很简单,图形总比代码生动。
在这里插入图片描述

  • 7
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: 在Vivado中,可以通过以下步骤查看模块对应的连线图: 1. 打开Vivado软件,点击"工程管理器"选项卡。 2. 在"工程管理器"窗口中,找到需要查看连线图的模块设计文件。 3. 右键点击该模块设计文件,选择"Open Block Design"。 4. 这将打开设计视图,显示模块顶层设计。 5. 在设计视图窗口中,可以看到模块的各个组件以及它们之间的连接。 6. 如果想查看具体的连线细节,可以双击打开某个组件,然后选择"管脚规划视图"。 7. 在管脚规划视图中,可以查看该组件的所有输入输出管脚以及它们的连接关系。 8. 如果想进一步了解连线的物理布局,可以选择"布局视图"。 9. 在布局视图中,可以看到设计中各个组件的位置和它们之间的连线布局。 10. 通过上述步骤,可以在Vivado中查看模块对应的连线图,以便进行设计分析和调试。 希望以上内容对您有帮助! ### 回答2: Vivado是一款高级综合(HLS)和逻辑综合工具,用于进行FPGA设计和实现。如果要查看模块对应的连线图(也称为布局图或位图),可以按照以下步骤操作: 1. 打开Vivado设计工具,并打开你要查看的项目。 2. 在左侧的“Flow Navigator”面板中,选择“Implementation”选项卡。 3. 在“Design Runs”面板中,选择你要查看的设计运行,并点击右键选择“Open Implemented Design”。 4. 在“Open Implemented Design”对话框中,选择“Schematic”选项,并点击“OK”按钮。 5. Vivado将打开一个新的窗口,显示模块对应的连线图。 在连线图中,你可以看到模块及其内部的各个组件如何相互连接起来。你可以通过放大、缩小、拖动等操作来查看图中的细节。此外,你还可以使用Vivado提供的其他功能来分析设计的性能和资源使用情况。 需要注意的是,连线图只是一个静态的展示,它主要用于设计验证和调试目的。要获取更详细的信息,比如查看特定信号路径上的时序信息,你可能需要使用更高级的功能或工具,例如时序分析器或信号提取器。 ### 回答3: Vivado是一款Xilinx公司的集成电路设计软件,可以用于FPGA设计开发。如果要查看模块对应的连线图,可以按照以下步骤进行操作: 1. 打开Vivado软件,创建或打开一个项目。 2. 在工程视图中,展开设计目录,找到你想要查看的模块模块通常以.vhd或者.v文件的形式存在。 3. 双击打开该模块文件,在设计视图中显示模块的源代码。 4. 在左侧的任务导航窗格中,选择"Sources"选项卡,展开你的模块文件。 5. 找到你想要查看连线图的模块,右键点击,并选择"Open Block Design"选项。这将打开该模块对应的块设计界面。 6. 在块设计界面中,你可以看到该模块的各个输入输出端口,在图上用箭头表示连线的方向。这些箭头向外延伸到其他模块。 7. 你可以点击箭头和端口来查看它们之间的信号名称、宽度和连接关系。你还可以通过拖拽和放大缩小画布来查看较大的连线图。 通过以上步骤,你就可以在Vivado中查看模块对应的连线图了。这个功能可以帮助你更好地理解和调试你的设计

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值