[STM32定时/计数中断配置

配置定时/计数中断配置基本步骤:

在这里插入图片描述

一:开启RCC时钟:

TIMX所在总线:
在这里插入图片描述
STM32F103C8T6定时器资源:TIM1、TIM2、TIM3、TIM4
由上面可知TIM2在APB1总线上:

RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2,ENABLE);//开启TIM2的RCC时钟

如果要使用引脚输入,还需要开启GPIO的时钟:

RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);//开启GPIOA的RCC时钟

二:选择时钟源(CK_PSC):

选择时钟源函数:

TIM_InternalClockConfig函数:

/**
  * @brief  选择内部时钟做为时钟源
  * @param  TIMx: 其中x可以是1 2 3 4 5 8 9 12或15(STM32c8t6仅能选择1,2,3,4)
  *         *选择要配置的定时器。
  * @retval None
  */
void TIM_InternalClockConfig(TIM_TypeDef* TIMx)

TIM_ITRxExternalClockConfig函数:

/* *
* @brief选择ITRx其他定时器的时钟为时钟源
* @param TIMx:选择要配置的定时器,其中x可以是1、2、3、4、5、9、12或15。(STM32c8t6仅能选择1,2,3,4)
* @param TIM_ITRSource:选择要接入其他哪个定时器。
*此参数可以是下列值之一:
* @param TIM_TS_ITR0:ITR0
* @param TIM_TS_ITR1:ITR1
* @param TIM_TS_ITR2:ITR2
* @param TIM_TS_ITR3:ITR3
* @retval无
*/
TIM_ITRxExternalClockConfig(TIM_TypeDef* TIMx, uint16_t TIM_InputTriggerSource)

定时器之间的具体连接见下图:
在这里插入图片描述
TIM_TIxExternalClockConfig函数:

/**
  * @brief  选择ITx捕获通道的时钟为时钟源
  * @param  TIMx: 选择要配置的定时器,其中x可以是1、2、3、4、5、9、12或15来。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_TIxExternalCLKSource: 选择具体的TIx引脚。
  *   此参数可以是下列值之一:
  *     @arg TIM_TIxExternalCLK1Source_TI1ED: TI1输入
  *     @arg TIM_TIxExternalCLK1Source_TI1: TI1FP1输入
  *     @arg TIM_TIxExternalCLK1Source_TI2: TI2FP2输入
  * @param  TIM_ICPolarity: 指定TIx极性选择。
  *   这个参数可以是下列值之一:
  *     @arg TIM_ICPolarity_Rising//上升沿
  *     @arg TIM_ICPolarity_Falling//下降沿
  * @param  ICFilter : 指定滤波器的值。
  *   取值范围必须在0x0到0xF之间。
  * @retval None
  */
void TIM_TIxExternalClockConfig(TIM_TypeDef* TIMx, uint16_t TIM_TIxExternalCLKSource,
                                uint16_t TIM_ICPolarity, uint16_t ICFilter)

TIM_ETRClockMode1Config函数:

/**
* @brief  选择ETR引脚通过外部时钟1的输入作为时钟
* @param  TIMx: 选择要配置的定时器,其中x可以是1、2、3、4、5、9、12或15来。(STM32c8t6仅能选择1,2,3,4)
* @param  TIM_ExtTRGPrescaler: 外部触发预分频器。
*   该参数可以是下列值之一:
*     @arg TIM_ExtTRGPSC_OFF: 不分频。
*     @arg TIM_ExtTRGPSC_DIV2: 二分频。
*     @arg TIM_ExtTRGPSC_DIV4: 四分频。
*     @arg TIM_ExtTRGPSC_DIV8: 八分频。
* @param  TIM_ExtTRGPolarity: 选择外部触发极性。
*   此参数可以是下列值之一:
*     @arg TIM_ExtTRGPolarity_Inverted: 低电平或下降沿有效。
*     @arg TIM_ExtTRGPolarity_NonInverted: 高电平或上升沿有效。
* @param  ExtTRGFilter: 指定滤波器的值。
*   取值范围必须在0x0到0xF之间。
* @retval None
*/
void TIM_ETRClockMode1Config(TIM_TypeDef* TIMx, uint16_t TIM_ExtTRGPrescaler, uint16_t TIM_ExtTRGPolarity,
uint16_t ExtTRGFilter)

TIM_ETRClockMode2Config函数:

/**
  * @brief 选择ETR引脚通过外部时钟2的输入作为时钟
  * @param  TIMx: 选择要配置的定时器,其中x可以是1、2、3、4、5、9、12或15来。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_ExtTRGPrescaler: 外部触发预分频器。
  *   该参数可以是下列值之一:
  *     @arg TIM_ExtTRGPSC_OFF: 不分频。
  *     @arg TIM_ExtTRGPSC_DIV2: 二分频。
  *     @arg TIM_ExtTRGPSC_DIV4: 四分频。
  *     @arg TIM_ExtTRGPSC_DIV8: 八分频。
  * @param  TIM_ExtTRGPolarity: 选择外部触发极性。
  *   此参数可以是下列值之一:
  *     @arg TIM_ExtTRGPolarity_Inverted: 低电平或下降沿有效。
  *     @arg TIM_ExtTRGPolarity_NonInverted: 高电平或上升沿有效。
  * @param  ExtTRGFilter: 指定滤波器的值。
  *   取值范围必须在0x0到0xF之间。
  * @retval None
  */
void TIM_ETRClockMode2Config(TIM_TypeDef* TIMx, uint16_t TIM_ExtTRGPrescaler, 
                             uint16_t TIM_ExtTRGPolarity, uint16_t ExtTRGFilter)

ETR引脚详见这里
配置时钟输入为外部模式2ETR输入:

TIM_ETRClockMode2Config(TIM2, TIM_ExtTRGPSC_OFF, TIM_ExtTRGPolarity_NonInverted, 0x0F);//选择外部时钟模式2,时钟从TIM_ETR引脚输入

2.1:配置GPIO(当选择外部时钟模式2,外部时钟模式1中的一些时钟输入时)

GPIO_InitTypeDef GPIO_InitStruct;//GPIO初始化结构体
GPIO_InitStruct.GPIO_Mode=GPIO_Mode_IPU;//将引脚模式配置为上拉输入
GPIO_InitStruct.GPIO_Pin=GPIO_Pin_0;//配置Pin0口
GPIO_InitStruct.GPIO_Speed=GPIO_Speed_50MHz;//设置Pin0口的最大翻转速度为50Mhz(输入模式此项不起作用)
GPIO_Init(GPIOA,&GPIO_InitStruct);//配置PA0口

作外部时钟输入时引脚应配置的模式见下图:
在这里插入图片描述

三:初始化时基单元:

TIM_TimeBaseInit函数:

/**
  * @brief  初始化TIMx时基单元外设
  *         使用TIM_TimeBaseInitStruct中指定的参数。
  * @param  TIMx: 选择要配置的TIMx,其中x可以是1到17。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_TimeBaseInitStruct: 指向TIM_TimeBaseInitTypeDef的指针
  * @retval None
  */
void TIM_TimeBaseInit(TIM_TypeDef* TIMx, TIM_TimeBaseInitTypeDef* TIM_TimeBaseInitStruct)

TIM_TimeBaseInitTypeDef结构体:

TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure;				//定义结构体变量
	TIM_TimeBaseInitStructure.TIM_ClockDivision ;		//时钟分频,此参数用于配置滤波器时钟,不影响时基单元功能
	TIM_TimeBaseInitStructure.TIM_CounterMode ;	//计数器模式
	TIM_TimeBaseInitStructure.TIM_Period ;					//计数周期,即ARR的值
	TIM_TimeBaseInitStructure.TIM_Prescaler ;				//预分频器,即PSC的值
	TIM_TimeBaseInitStructure.TIM_RepetitionCounter ;			//重复计数器,高级定时器才会用到
	TIM_TimeBaseInit(TIM2, &TIM_TimeBaseInitStructure);				//将结构体变量交给TIM_TimeBaseInit,配置TIM2的时基单元	
成员可选参数
TIM_ClockDivisionTIM_CKD_DIV1//不分频
TIM_CKD_DIV2//2分频
TIM_CKD_DIV4//4分频
TIM_CounterModeTIM_CounterMode_Up//向上计数模式
TIM_CounterMode_Down//向下计数模式
TIM_CounterMode_CenterAligned1//中央对齐模式1
TIM_CounterMode_CenterAligned2//中央对齐模式2
TIM_CounterMode_CenterAligned3//中央对齐模式3
TIM_Period0至65535
TIM_Prescaler0至65535
TIM_RepetitionCounter

TIM_Period(ARR) 和TIM_Prescaler(PSC) 值的计算:计数器溢出频率=CK_PSC / (PSC + 1) / (ARR + 1)

初始化TIM2的时基单元:

	TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure;				//定义结构体变量
	TIM_TimeBaseInitStructure.TIM_ClockDivision = TIM_CKD_DIV1;		//时钟分频,选择不分频,此参数用于配置滤波器时钟,不影响时基单元功能
	TIM_TimeBaseInitStructure.TIM_CounterMode = TIM_CounterMode_Up;	//计数器模式,选择向上计数
	TIM_TimeBaseInitStructure.TIM_Period = 10 - 1;					//计数周期,即ARR的值
	TIM_TimeBaseInitStructure.TIM_Prescaler = 1 - 1;				//预分频器,即PSC的值
	TIM_TimeBaseInitStructure.TIM_RepetitionCounter = 0;			//重复计数器,高级定时器才会用到
	TIM_TimeBaseInit(TIM2, &TIM_TimeBaseInitStructure);				//将结构体变量交给TIM_TimeBaseInit,配置TIM2的时基单元	
	TIM_ClearFlag(TIM2, TIM_FLAG_Update);						//清除定时器更新标志位
																//TIM_TimeBaseInit函数末尾,手动产生了更新事件
																//若不清除此标志位,则开启中断后,会立刻进入一次中断
																//如果不介意此问题,则不清除此标志位也可

四:开启定时器更新中断:

TIM_ITConfig(TIM2, TIM_IT_Update, ENABLE);					//开启TIM2的更新中断

五:配置NVIC:

NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);				//配置NVIC为分组2
NVIC_InitTypeDef NVIC_InitStructure;						//定义结构体变量
NVIC_InitStructure.NVIC_IRQChannel = TIM2_IRQn;				//选择配置NVIC的TIM2线
NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;				//指定NVIC线路使能
NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 2;	//指定NVIC线路的抢占优先级为2
NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;			//指定NVIC线路的响应优先级为1
NVIC_Init(&NVIC_InitStructure);								//将结构体变量交给NVIC_Init,配置NVIC外设

六:开启定时器:

TIM_Cmd函数:

/**
  * @brief  启用或禁用指定的定时器。
  * @param  TIMx: 选择要配置的TIMx,其中x可以是1到17。(STM32c8t6仅能选择1,2,3,4)
  * @param  NewState: 指定定时器的新状态。
  *   该参数包括:ENABLE 或DISABLE。
  * @retval None
  */
void TIM_Cmd(TIM_TypeDef* TIMx, FunctionalState NewState)

开启TIM2:

TIM_Cmd(TIM2, ENABLE);

中断服务函数:

在STM32中,中断服务函数的函数名是指定的,以下是TIM的中断服务函数函数名:

函数名对应定时器
TIM2_IRQHandlerTIM2
TIM3_IRQHandlerTIM3
TIM4_IRQHandlerTIM4

标志位读取函数:
TIM_GetFlagStatus函数:

/**
  * @brief  检查是否设置了指定的定时器中断标志位。
  * @param  TIMx: 选择要查询的TIMx,其中x可以是1到17。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_FLAG: 指定要检查的标志位。
  *   这个参数可以是下列值之一:
  *     @arg TIM_FLAG_Update: TIM 更新标志位
  *     @arg TIM_FLAG_CC1: TIM 捕获/比较 1 标志位
  *     @arg TIM_FLAG_CC2: TIM 捕获/比较 2 标志位
  *     @arg TIM_FLAG_CC3: TIM 捕获/比较 3 标志位
  *     @arg TIM_FLAG_CC4: TIM 捕获/比较 4 标志位
  *     @arg TIM_FLAG_COM: TIM Commutation Flag
  *     @arg TIM_FLAG_Trigger: TIM 触发标志位
  *     @arg TIM_FLAG_Break: TIM Break Flag
  *     @arg TIM_FLAG_CC1OF: TIM 捕获/比较 1 溢出标志位
  *     @arg TIM_FLAG_CC2OF: TIM 捕获/比较 2 溢出标志位
  *     @arg TIM_FLAG_CC3OF: TIM 捕获/比较 3 溢出标志位
  *     @arg TIM_FLAG_CC4OF: TIM 捕获/比较 4 溢出标志位
  * @note
  *   - TIM6和TIM7只有一个更新标志。 
  *   - TIM9, TIM12和TIM15只能有TIM_FLAG_Update, TIM_FLAG_CC1,
  *      TIM_FLAG_CC2或TIM_FLAG_Trigger。 
  *   - TIM10, TIM11, TIM13, TIM14, TIM16 和 TIM17 可以有 TIM_FLAG_Update 或 TIM_FLAG_CC1.   
  *   - TIM_FLAG_Break 只用于 TIM1, TIM8 and TIM15. 
  *   - TIM_FLAG_COM 只用于 TIM1, TIM8, TIM15, TIM16 and TIM17.    
  * @retval 指定的标志位的最新状态(SET 或者 RESET)
  */
FlagStatus TIM_GetFlagStatus(TIM_TypeDef* TIMx, uint16_t TIM_FLAG)

TIM_ ClearFlag函数:

/**
  * @brief  清除TIMx的挂起标志。
  * @param  TIMx: 选择要清除的TIMx,其中x可以是1到17。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_FLAG: 指定要清除的标志位。
  *   这个参数可以是下列值的任意组合:
  *     @arg TIM_FLAG_Update: TIM 更新标志位
  *     @arg TIM_FLAG_CC1: TIM 捕获/比较 1 标志位
  *     @arg TIM_FLAG_CC2: TIM 捕获/比较 2 标志位
  *     @arg TIM_FLAG_CC3: TIM 捕获/比较 3 标志位
  *     @arg TIM_FLAG_CC4: TIM 捕获/比较 4 标志位
  *     @arg TIM_FLAG_COM: TIM Commutation Flag
  *     @arg TIM_FLAG_Trigger: TIM 触发标志位
  *     @arg TIM_FLAG_Break: TIM Break Flag
  *     @arg TIM_FLAG_CC1OF: 捕获/比较 1 溢出标志位
  *     @arg TIM_FLAG_CC2OF: 捕获/比较 2 溢出标志位
  *     @arg TIM_FLAG_CC3OF: 捕获/比较 3 溢出标志位
  *     @arg TIM_FLAG_CC4OF: 捕获/比较 4 溢出标志位
  * @note
  *   - TIM6和TIM7只有一个更新标志。
  *   - TIM9, TIM12 和 TIM15 只有 TIM_FLAG_Update, TIM_FLAG_CC1,
  *      TIM_FLAG_CC2 或 TIM_FLAG_Trigger. 
  *   - TIM10, TIM11, TIM13, TIM14, TIM16 和 TIM17 可以有 TIM_FLAG_Update 或 TIM_FLAG_CC1.   
  *   - TIM_FLAG_Break 仅用于 TIM1, TIM8 和 TIM15. 
  *   - TIM_FLAG_COM 仅用于 TIM1, TIM8, TIM15, TIM16 和 TIM17.   
  * @retval None
  */
void TIM_ClearFlag(TIM_TypeDef* TIMx, uint16_t TIM_FLAG)

TIM_GetITStatus函数:

/**
  * @brief  检查TIM中断是否发生。
  * @param  TIMx: 选择要检查的TIMx,其中x可以是1到17。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_IT: 指定要检查的TIM中断源。
  *   这个参数可以是下列值之一:
  *     @arg TIM_IT_Update: TIM 更新中断
  *     @arg TIM_IT_CC1: TIM 捕获/比较 1 中断
  *     @arg TIM_IT_CC2: TIM 捕获/比较 2 中断
  *     @arg TIM_IT_CC3: TIM 捕获/比较 3 中断
  *     @arg TIM_IT_CC4: TIM 捕获/比较 4 中断
  *     @arg TIM_IT_COM: TIM Commutation Interrupt source
  *     @arg TIM_IT_Trigger: TIM 触发中断
  *     @arg TIM_IT_Break: TIM Break Interrupt source
  * @note
  *   - TIM6 and TIM7 只有一个更新中断。
  *   - TIM9, TIM12 和 TIM15 只有 TIM_IT_Update, TIM_IT_CC1,
  *      TIM_IT_CC2 或 TIM_IT_Trigger. 
  *   - TIM10, TIM11, TIM13, TIM14, TIM16 和 TIM17 有 TIM_IT_Update 或 TIM_IT_CC1.   
  *   - TIM_IT_Break 仅用于 TIM1, TIM8 and TIM15. 
  *   - TIM_IT_COM 仅用于 TIM1, TIM8, TIM15, TIM16 and TIM17.  
  * @retval 指定的标志位(TIM_IT)的最新状态(SET or RESET).
  */
ITStatus TIM_GetITStatus(TIM_TypeDef* TIMx, uint16_t TIM_IT)

TIM_ClearITPendingBit函数:

/**
  * @brief  清除TIMx的中断挂起位。
  * @param  TIMx: 选择要清除的TIMx,其中x可以是1到17。(STM32c8t6仅能选择1,2,3,4)
  * @param  TIM_IT: 指定要清除的中断挂起位。
  *   这个参数可以是下列值的任意组合:
 *     @arg TIM_IT_Update: TIM 更新中断
  *     @arg TIM_IT_CC1: TIM 捕获/比较 1 中断
  *     @arg TIM_IT_CC2: TIM 捕获/比较 2 中断
  *     @arg TIM_IT_CC3: TIM 捕获/比较 3 中断
  *     @arg TIM_IT_CC4: TIM 捕获/比较 4 中断
  *     @arg TIM_IT_COM: TIM Commutation Interrupt source
  *     @arg TIM_IT_Trigger: TIM 触发中断
  *     @arg TIM_IT_Break: TIM Break Interrupt source
  * @note
  *   - TIM6 and TIM7 只有一个更新中断。
  *   - TIM9, TIM12 和 TIM15 只有 TIM_IT_Update, TIM_IT_CC1,
  *      TIM_IT_CC2 或 TIM_IT_Trigger. 
  *   - TIM10, TIM11, TIM13, TIM14, TIM16 和 TIM17 有 TIM_IT_Update 或 TIM_IT_CC1.   
  *   - TIM_IT_Break 仅用于 TIM1, TIM8 and TIM15. 
  *   - TIM_IT_COM 仅用于 TIM1, TIM8, TIM15, TIM16 and TIM17.   
  * @retval None
  */
void TIM_ClearITPendingBit(TIM_TypeDef* TIMx, uint16_t TIM_IT)

中断服务函数模板:

void TIM2_IRQHandler(void)
{
if(TIM_GetITStatus(TIM2,TIM_IT_Update)==SET)
{
		//要进行的操作
		TIM_ClearITPendingBit(TIM2,TIM_IT_Update);
	}
}
  • 20
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
1、CAN收发队列 使用内存FIFO缓冲CAN帧,适合大数据量通信;并使用内部软中断处理CAN数据,相当于事件响应,综合应该比查询方式节省不少时间,也应该比OS调度省点时间。Can.C:底层处理,Communi.C:与应用层高相关。 应用层处理流程用函数指针表的方式调用减少代码量及阅读整齐;实现CAN各种错误记录机制。已初步测试,该机制可用。 CAN处理流程: 接收:CAN1_RX0_IRQHandler_Name (void), CAN1_RX1_IRQHandler(void) 接收中断,CAN_QueueWriteQuick()将当前的有效报文压入内存FIFO,压入的数据为整个CAN邮箱数据,所以后续的处理函数可以分辨出完整的数据。 void CAN1_RX0_IRQHandler_Name (void) // CAN1_RX0_IRQHandler_Name { /* FIFO从空状态开始,在接收到第一个有效的报文后,FIFO状态变为挂号_1(pending_1), 硬件相应地把CAN_RFR寄存器的FMP[1:0]设置为’01’(二进制01b)。 软件可以读取FIFO输出邮箱来读出邮箱中的报文,然后通过对CAN_RFR寄存器的RFOM位 设置’1’来释放邮箱,这样FIFO又变为空状态了。如果在释放邮箱的同时, 又收到了一个有效的报文,那么FIFO仍然保留在挂号_1状态,软件可以读取FIFO 输出邮箱来读出新收到的报文。 如果应用程序不释放邮箱,在接收到下一个有效的报文后,FIFO状态变为 挂号_2(pending_2),硬件相应地把FMP[1:0]设置为’10’(二进制10b)。 重复上面的过程,第三个有效的报文把FIFO变为挂号_3状态(FMP[1:0]=11b)。 此时,软件必须对RFOM位设置1来释放邮箱,以便FIFO可以有空间来存放下一个有效的 报文;否则,下一个有效的报文到来时就会导致一个报文的丢失。 */ while (CAN1->RF0R & CAN_RF0R_FMP0) // message pending ? { CAN_QueueWriteQuick(&CanRxQueue;, (T_CanFrame *)&CAN1;->sFIFOMailBox[CAN_FIFO0]); CAN1->RF0R |= CAN_RF0R_RFOM0; // Release FIFO 0 output mailbox #if CAN1_SWI_HANDLE_EN > 0 /* Add by Xsky 2011-06-18 15:48 */ EXTI->SWIER |= CAN1_SWI_EXTI_LINE; /* Add by Xsky 2011-06-18 15:47 */ #endif } } void CAN1_RX1_IRQHandler (void) { while (CAN1->RF1R & CAN_RF1R_FMP1) // message pending ? { CAN_QueueWriteQuick(&CanRxQueue;, (T_CanFrame *)&CAN1;->sFIFOMailBox[CAN_FIFO1]); CAN1->RF1R |= CAN_RF1R_RFOM1; // Release FIFO 1 output mailbox #if CAN1_SWI_HANDLE_EN > 0 /* Add by Xsky 2011-06-18 15:48 */ EXTI->SWIER |= CAN1_SWI_EXTI_LINE; /* Add by Xsky 2011-06-18 15:47 */ #endif } } 接收中断响应后,触发STM32的内部软中断(EXTI->SWIER |= CAN1_SWI_EXTI_LINE;), 实现当CAN硬件中断响应完成后,触发更低优先级的中断去处理内存中的CAN数据队列,如果处理时再发生新的CAN硬件接收中断,则会先响应硬件中断,以减少或不丢失CAN FIFO邮箱数据。处理函数在Communi.C中实现。 发送,CAN_SendFrame(): 发送时如果邮箱有空则直接将数据压入邮箱,否则将数据压入内存发送队列。等待上一次数据发送完成时,在发送中断中提取FIFO发送队列中的下一帧数据并发出。 Communi.C的功能为与应用层相关度较高的函数,如发送应用层帧,记录错误。 CAN1_SWI_Handler (void) 实现CAN接收中断触发的内部软件中断,处理内存FIFO接收的CAN数据(实际编译函数名为:EXTI4_IRQHandler())。 处理过程优化:通过定义顺序的code码,查表调用处理函数列表指针可实现比较整齐并有效率的代码机制。 CAN1_SCE_IRQHandler()实现进行错误记录(g_History.SysErrors.xxx以便于统计CAN错误)及相应处理。 个人认为这种处理方式,近似于OS的多任务,同时减少调度开销,是在可重用性与效率之间的平衡用法。当然这种处理方式,也适合于做为uCOS中的底层驱动文件,已留有CAN_QUE_OS_ENTER_CRITICAL()的宏定义,更改为相应的OS开关中断函数基本即可用于uCOS。 附 CAN总线利用率及最坏时间估算.xls, 根据应用层估计的数据发送频度最大值,自动估计CAN总线上导致的最大延时是否满足应用需求。 2、UART模板 UART DMA/中断处理方式 文件模板,可仅修改头部定义实现完全配置某指定的UART端口,以实现执行效率与代码重用的折中,UART.C,UARTx.H,UARTn.C。 UARTx.H为公共代码文件,#include被包含在UART1.C,、UART2.C、……中(用UARTn.C指代)实现所有的接收、发送的中断处理函数,在UARTn.C中宏定义各中断向量函数名以及各种硬件相关参数,定义接收发送的内存缓冲区长度等。代码实现DMA及中断响处理两种方式,通过宏定义选择编译不同代码,接收使用定时器实现字符超时指示功能,DMA接收时多使用了一级DMA接收专用内存缓冲RxDMABuf,因为DMA只能按地址连续写内存。 接收发送均使用内存缓冲区,以尽量避免中断响应时间导致的接收数据丢失问题,以及避免查询等待方式的较低效率。 发送函数:UART1_SendBytes(),UART2_SendBytes(),... 检查接收缓冲区字节数:UART1_RcvdSize() 读取指定的字节数:UART1_ReadBytes() 上层使用方法:循环检测UART1_RcvdSize()是否大于0,大于则进行读取等下一步处理,也可再定义高一级的应用层帧缓冲,以实现应用层的完整帧处理,或者增加一个对接收FIFO的预读功能,即读取时对接收FIFO中的帧进行识别,如果不是完整的应用层帧则再等待数ms或者再等待数次,等待失败则超时丢弃本帧,寻找下一帧。当然也可以在中断中增加事件机制,类似CAN中断触发低优先级软件中断,多个串口可在同一个软件中断服务中处理。 调试输出DbgPrintf函数,Function.C。 已使用大量连续数据测试该机制收发均可用,UART1~5均可用。使用本方式的考虑是在执行效率与代码重用间的平衡,部分代码使用了ST的库,如初始化时不时间使用不高时,而中断处理则基本是直接操作寄存器。并且均考虑了做为uCOS的接口,直接替换UARTx_ENTER_CRITICALx()、UARTx_EXIT_CRITICALx()函数应该可以基本实现做为uCOS的底层驱动。 注:包含UARTx.H的方式,各个UARTn.C文件重用其中代码, 某些情况下编译器可能会编译出错误的问题,具体原因还不明。但方法确实是可行的,已测试STM32F103VCT6 UART1~5均可。 3、用逻辑分析仪测定过的延时函数:Delay.C,内核72MHz,具体延时时间已注释标注. 如: void Delay_Nms(unsigned long N) { long count;//=14200; while(N) { count = 7998; /* 逻辑分析仪测试, 包含引脚取反时间 14200, 80ms: 142.026ms, 10000, 80ms: 100.025ms 8000, 80ms: 80.25ms 7975, 80ms: 79.775ms 7990, 100ms: 99.907ms 7998, 150ms: 150.01ms 7997, 150ms: 149.992ms */ while(count--); /* while(count--); 0x08001236 000A MOVS r2,r1 0x08001238 F1A10301 SUB r3,r1,#0x01 0x0800123C 4619 MOV r1,r3 0x0800123E D1FA BNE 0x08001236 */ N--; } } 4、输入检测 中断定时进行输入扫描,定义有效无效电平消抖时间,且定义按下弹起事件响应函数指针,应该会比循环扫描节省很多时间IOInput.C。已测试,机制完好。 // 常量表定义 typedef void t_IOIN_EVENT_DO(T_IOEvent); typedef uint8 t_IOIN_Counter; typedef struct t_IOIN_INFO_ { uint8 ID; // 输入信号索引 T_ValidVoltage ValidVoltage; // 有效电平,高电平或者低电平有效 __IO uint32_t *IDR; // 输入引脚指针 uint32_t PinBitMsk; // 输入引脚位掩码 t_IOIN_Counter CntValid; // 有效状态计数, 注意如果改变ValidVoltage, 此相应需要修改此参数,为确认该电平输入为有效电平的计数值,相当于滤波参数 t_IOIN_Counter CntInvalid; // 无效状态计数, 注意如果改变ValidVoltage, 此相应需要修改此参数,为确认该电平输入为无效电平的计数值,相当于滤波参数 t_IOIN_EVENT_DO *EventHandler; // 执行函数指针 }t_IOIN_INFO; static const t_IOIN_INFO IOIN_InfoTbl[IOIN_NUMS] = { // index, ValidVol, GPIO->IDR, IO_MASK, CNT, CNT~, EventHandler {IOIN_Key1, VOL_Low, &GPIOB;->IDR, BIT( 0), 4, 4, NULL }, {IOIN_Key2, VOL_Low, &GPIOB;->IDR, BIT( 1), 4, 4, NULL }, {IOIN_Key3, VOL_Low, &GPIOB;->IDR, BIT( 6), 4, 4, NULL }, {IOIN_Key4, VOL_Low, &GPIOB;->IDR, BIT( 7), 4, 4, KeyESC_Event }, {IOIN_CenterSensor, VOL_High, &GPIOC;->IDR, BIT( 7),10,10, ColorSensorEvent }, // 使其常态电平5ms推迟于颜色检测线(以检测), 有效电平2ms提早于颜色线 {IOIN_VacuumHousing,VOL_Low, &GPIOE;->IDR, BIT(12), 4,10, NULL }, {IOIN_BallCounter, VOL_Low, &GPIOE;->IDR, BIT(15), 4, 4, NULL }, {IOIN_Spin, VOL_Low, &GPIOE;->IDR, BIT(14), 3, 3, NULL }, {IOIN_ColorRed, VOL_Low, &GPIOD;->IDR, BIT( 8),16, 6, NULL }, // 使常态电平3ms提前于庶断传感器, 有效电平8ms推迟于遮断传感器 {IOIN_ColorGreen, VOL_Low, &GPIOD;->IDR, BIT( 9),16, 6, NULL }, {IOIN_ColorBlue, VOL_Low, &GPIOD;->IDR, BIT(10),16, 6, NULL } }; 使用时主要修改以上这张表的指向及消科参数,并且对中断处理函数中的顺序或者扫描的最小间隔进行区分即可。 5、颜色传感器驱动 颜色传感器TCS3200D驱动ColorSensor.C。已测试,机制完好。测量频率范围 20Hz~120KHz, S0:S1:HL。 6、铁电驱动 SPI方式读写铁电,实现片写片读函数Spi_FRAM.C;参数及历史记录读写检测函数GameParam.C。 7、其它一些可参考的模板、文件、函数、或者小的方式方法等。 by Xsky 原创STM32项目处理方法(其中个别有文件为直接用的,已注明,如周立功的)。 仅供参考交流,QQ:1821587421 其它可交流方案: GPS车辆监控系统:终端原理图PCB源码整套(稳定成熟可接多个外设);平台整套源码。 LED屏:公交,出租等 原理图PCB;PC端软件等;PDA控制LED屏程序源码。 DVR:小型SD卡录像方案,可485拍照。 公交报站器,原理图PCB;PC端软件。51版,STM32版。 汽车电动台阶驱动板原理图PCB。 PDA扫描轮:条形码扫描,GPRS上传;终端原理图PCB源码整套,服务端源码 手持公交售票终端源码,可打印小票。终端价位特低。 稳定使用的固态继电器原理及PCB(光耦隔离控制双向可控硅)。 直流电机驱动板。
准备参与电赛了,前段花了一段时间学习了瑞萨单片机,听说,想得特等奖的四轴队伍必须用瑞萨单片机?要不然也可以考虑其他型号的,比如STM32和K60这些常用的芯片。在参赛之前做过STM32相关的四轴。下面免费分享STM32和K60相关资料。 STM32电赛资料 ASCII字符表 AT24C02 Explorer STM32F4_V1.5_SCH GPIO的配置种类 PWM模式体会 STM32F4xx_Clock_Configuration_V1.0.1 STM32F4xx中文参考手册 STM32F4开发指南-库函数版本_V1.0 STM32F10x常见应用解析 STM32中断优先级 STM32中断优先级与相关使用概念 STM32中使用GPIO的总结(超强) STM32中文参考手册_V10 USART串口配置方法 K60电赛资料 [LPLD_Kinetis底层库V2]函数手册 [跟我学OSKinetis]第5课-精度时间我做主!ADC! _ 拉普兰德电子技术 [跟我学OSKinetis]第7课-PIT定时器!So easy! _ 拉普兰德电子技术 [跟我学OSKinetis]第8课-FTM的PWM、输入捕获、正交解码 _ 拉普兰德电子技术 [跟我学OSKinetis]第10课-FlexBus之SRAM、LCD的应用 _ 拉普兰德电子技术 《飞思卡尔MCU应用开发》全攻略 ARM CORTEX -M4自学笔记:基于K60 I2C学习心得 K60时钟模式 K60中文资料整合版 LQ-K60P144-SYSVB核心板原理图 串口通信:UART、SPI、I2C区别 从零入手Kinetis系统开发(1-11) 关于IAR软件的Go_to_Definition_of功能问题的解决方法 三天入门 Cortex-M4 ----Kinetis(正式版) 由入门到精通吃透PID _LQ_LPTMR_脉冲计数通过 _LQ_LPTMR_延时 00_LED验证超频 00_LQ_test_pll_LED 00_LQ_uart_int_test_pll180 00_串口验证超频 01_GPIO及LED测试 02_串口循环收发 03_串口中断收发 04_GPIO按键演示 05_PIT定时中断 06_十二位和十六位ADC串口输出 07_PWM输出FTM1 08_PWM电机控制FTM1_1通道-PWM公式更正 08_PWM舵机控制FTM0_2通道-PWM公式更正 08_PWM舵机控制FTM1_2通道-PWM公式更正 10_超频LED指示 11_LQ_IIC_8451_UART 11_LQ_moniIIC_8451_UART_g输出14位加速度值 11_LQ_moniIIC_8451_UART输出14位加速度原始值 12_GPIO中断 13_LQ_OLED演示 14_LQ_OLED显示并口数据 15_并行口演示 16_LQ_SPI0通信待验证 16_SPI_CW10
具体操作要求如下: 以给定频率输出脉冲,脉冲数无限制 以给定频率f、输出n个脉冲 相对定位 相对定位+绝对定位 脉冲输出PORTA.0 方向信号输出PORTB.5 模仿PLC定位指令 可以作为简易运动控制器控制伺服电机 发脉冲两种目的 1)速度控制 2)位置控制 速度控制目的和模拟量一样,没有什么需要关注的地方 发送脉冲方式为PWM,速率稳定而且资源占用少 stm32位置控制需要获得发送的脉冲数,有下面4种手段 1)每发送一个脉冲,做一次中断计数 2)根据发送的频率×发送的时间,获得脉冲数量,对于变速的脉冲,可以累计积分的方法来获得总脉冲 3)一个定时器作为主发送脉冲,另外一个定时器作为从,对发送的脉冲计数 4)使用DMA方式,例如共发送1000个脉冲,那么定义u16 per[1001],每发送一个脉冲,dma会从数组中更新下一个占空比字,数组最后一个字为0,表示停发脉冲 上面4种方法的用途和特点 1)对于低速率脉冲比较好,可以说低速发脉冲的首选,例如10Khz以下的,否则中断占用太多的cpu,这种方法要注意将中断优先级提高,否则会丢计数, 2)用作定时的计时精确高,可以允许有脉冲计数丢失的情况 3)主从方式,需额外的定时器计数,例如tim1发脉冲 tim2计数,最方便的方式,无论高速低速即可,同时占用cpu最低,只是要占用多一个定时器 4)DMA方式也算是一个很确定的方式,不会丢失脉冲,但是高速的时候,会较多的占用内部总线同时会使用一个多余的DMA控制器,而且有个缺点,就是使用起来比较复杂,没有达到KISS原则 个人推荐方式,低速时中断方式,如果不知高速还是低速,则使用主从方式。具体的方式需要根据资源和需求来确定。 stm32定时器算是比较复杂的器件,而且用户要较多的介入底层,希望将来st公司能够能够简化器件的使用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值