一
`timescale 1ns/1ns
module tb_comb1();
// 请在下面添加代码,根据所给出的波形图完成测试程序的编写
// 本关卡不需要例化任何模块,根据波形图直接给出激励信号即可
// 代码量预计10行
/****** Begin ******/
logic a,b;
initial begin
a=0;b=0;
#10 a=1;
#5 b=1;
#10 a=0;
#20 b=0;
end
/****** End ******/
initial begin
$timeformat(-9, 0, "ns", 5);
$monitor("At time %t: a = %b, b = %b", $time, a, b);
end
initial begin
$dumpfile("waveform.vcd"); // 系统任务“$dumfile”用于生成波形文件“waveform.vcd”
$dumpvars(1, a); // 系统任务“$dumpvars”用于控制将哪些信号变量放入波形文件
$dumpvars(1, b);
end
endmodule // tb_comb1
看图,#后的数字代表延时时间。
二
`timescale 1ns/1ns
module tb_comb2();
// 请在下面添加代码,根据所给出的波形图完成测试程序的编写
// 本关卡不需要例化任何模块,根据波形图直接给出激励信号即可
// 代码量预计10行
/****** Begin ******/
logic [3