Verilog 练习 4级伪随机码发生器

 

 代码如下

//2121-11-2
//四级伪随机码发生器
module m_gen(clk,res,y);
input clk,res;
output y;

reg[3:0] d;
assign y=d[0];

always@(posedge clk or negedge res)
if(~res) begin 
d<=4'b1111;
end 
else begin 
	d[2:0]<=d[3:1];
	d[3]<=d[3]+d[0];
end 
endmodule 



//----testbench of m_gen-----
module m_gen_tb;
reg clk,res;
wire y;

m_gen m_gen(.clk(clk),.res(res),.y(y));

initial begin 
		clk<=0;res<=0;
		#17 res<=1;
		#600 $stop;
end 

always#5 clk=~clk;

endmodule

仿真结果如下

https://www.bilibili.com/video/BV1hX4y137Ph?p=4&spm_id_from=pageDriver 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值