Quartus II modelsim使用与testbench编写

本文使用的是Quartus II Version 10.0 和Modelsim 6.5e。

下面是如何使用modelsim进行时序仿真的步骤:

 

建立工程led0_module.qpf ,输入让led灯翻转的Verilog HDL。源代码和后面要使用到的testbench在下面链接中。

http://download.csdn.net/detail/wu20093346/6523223       //源代码资源

led0_module.v 如下:

module led0_module
(
    CLK, RSTn, LED_Out
);

    input CLK;
    input RSTn;
    output LED_Out;
    
    /*************************************/
    
    parameter T = 21'd20;
    
    /*************************************/
    
    reg [20:0]Count1;
    
    always @ ( posedge CLK or negedge RSTn )
	    if( !RSTn )	
	        Count1 <= 21'd0;
	    else if( Count1 == T )
	        Count1 <= 21'd0;
	    else
	        Count1 <= Count1 + 1'b1;
	        
	/****************
  • 6
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Quartus是一种由Intel开发的集成电路设计软件,而ModelSim是一种常用的硬件描述语言仿真工具。下面是使用QuartusModelSim的简要教程: 1. 首先,打开Quartus软件并创建一个新的项目。在菜单栏中选择File->New Project Wizard,并按照向导的指示完成项目的设置。 2. 在项目中编写设计程序。你可以使用Verilog或VHDL等硬件描述语言编写你的设计代码,然后将其保存在工程目录下的文件中。 3. 编写完设计程序后,使用Quartus对代码进行编译。点击工具栏中的编译按钮或选择菜单栏中的Processing->Start Compilation来开始编译。 4. 编译完成后,你可以通过Quartus来查看代码的综合结果和优化报告。 5. 接下来,你需要添加一个测试程序(test bench)来验证你的设计。在Quartus中,你可以创建一个新的文件来编写测试程序,并将其保存在项目的simulation目录下。 6. 编写测试程序后,使用ModelSim对代码进行仿真。在Quartus中,你需要进行一些仿真前的设置,例如设置仿真时钟等。你可以在菜单栏中选择assignments->settings来进行设置。 7. 设置完成后,你可以打开ModelSim仿真工具,加载你的设计和测试程序,并进行仿真运行。你可以在ModelSim中观察信号波形、调试代码等。 请注意,这只是一个简要的教程,涵盖了QuartusModelSim的基本使用步骤。如果你需要更详细的教程或有其他相关问题,请告诉我。 相关问题: 1. 如何在Quartus中添加约束文件(Constraint file)? 2. 如何在ModelSim中调试设计中的错误? 3. 有没有其他替代QuartusModelSim的集成电路设计和仿真工具?

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Marvin_wu

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值