CAN总线通讯实验

更多交流欢迎关注作者抖音号:81849645041

目标

        了解CAN(控制器局域网络)的网络模型,通讯协议,架构组成和工作原理,掌握利用CAN通讯协议实现节点间通讯。

原理

        CAN 是控制器局域网络(Controller Area Network)的简称,它是由研发和生产汽车电子 产品著称的德国 BOSCH 公司开发的,并最终成为国际标准(ISO11519),是国际上应用最广泛的现场总线之一。

        CAN 总线协议已经成为汽车计算机控制系统和嵌入式工业控制局域网的标准总线,并且拥有以 CAN 为底层协议专为大型货车和重工机械车辆设计的 J1939 协议。近年来,它具有的高可靠性和良好的错误检测能力受到重视,被广泛应用于汽车计算机控制系统和环境温度恶劣、电磁辐射强及振动大的工业环境。

CAN 物理层

        与 I2C、SPI 等具有时钟信号的同步通讯方式不同,CAN 通讯并不是以时钟信号来进行同步的,它是一种异步通讯,只具有 CAN_High 和 CAN_Low 两条信号线,共同构成一组差分信号线,以差分信号的形式进行通讯。

1. 闭环总线网络

        CAN 物理层的形式主要有两种,图中的 CAN 通讯网络是一种遵循 ISO11898 标 准的高速、短距离“闭环网络”,它的总线最大长度为 40m,通信速度最高为 1Mbps,总 线的两端各要求有一个“120 欧”的电阻。

2.开环总线网络

        下图中的是遵循 ISO11519-2 标准的低速、远距离“开环网络”,它的最大传输距离为 1km,最高通讯速率为 125kbps,两根总线是独立的、不形成闭环,要求每根总线上各串联有一个“2.2 千欧”的电阻。

3. 通讯节点

        从 CAN 通讯网络图可了解到,CAN 总线上可以挂载多个通讯节点,节点之间的信号经过总线传输,实现节点间通讯。由于 CAN 通讯协议不对节点进行地址编码,而是对数据内容进行编码的,所以网络中的节点个数理论上不受限制,只要总线的负载足够即可,可以通过中继器增强负载。

        CAN 通讯节点由一个 CAN 控制器及 CAN 收发器组成,控制器与收发器之间通过CAN_Tx 及 CAN_Rx 信号线相连,收发器与 CAN 总线之间使用 CAN_High 及 CAN_Low信号线相连。其中 CAN_Tx 及 CAN_Rx 使用普通的类似 TTL 逻辑信号,而 CAN_High 及CAN_Low 是一对差分信号线,使用比较特别的差分信号,下一小节再详细说明。

        当 CAN 节点需要发送数据时,控制器把要发送的二进制编码通过 CAN_Tx 线发送到收发器,然后由收发器把这个普通的逻辑电平信号转化成差分信号,通过差分线CAN_High 和 CAN_Low 线输出到 CAN 总线网络。而通过收发器接收总线上的数据到控制器时,则是相反的过程,收发器把总线上收到的 CAN_High 及 CAN_Low 信号转化成普通的逻辑电平信号,通过 CAN_Rx 输出到控制器中。

        STM32 的 CAN 片上外设就是通讯节点中的控制器,为了构成完整的节点,还要给它外接一个收发器,在我们实验板中使用型号为 TJA1050 的芯片作为 CAN 收发器。CAN 控制器与 CAN 收发器的关系如同 TTL 串口与 MAX3232 电平转换芯片的关系,MAX3232 芯片把 TTL 电平的串口信号转换成 RS-232 电平的串口信号,CAN 收发器的作用则是把 CAN 控制器的 TTL 电平信号转换成差分信号(或者相反)。

4. 差分信号

        差分信号又称差模信号,与传统使用单根信号线电压表示逻辑的方式有区别,使用差分信号传输时,需要两根信号线,这两个信号线的振幅相等,相位相反,通过两根信号线的电压差值来表示逻辑 0 和逻辑 1。见图 44-3,它使用了 V+与 V-信号的差值表达出了图下方的信号。

        相对于单信号线传输的方式,使用差分信号传输具有如下优点:

        1) 抗干扰能力强,当外界存在噪声干扰时,几乎会同时耦合到两条信号线上,而接 收端只关心两个信号的差值,所以外界的共模噪声可以被完全抵消。

        2) 能有效抑制它对外部的电磁干扰,同样的道理,由于两根信号的极性相反,他们 对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。

        3) 时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单 端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。

        由于差分信号线具有这些优点,所以在 USB 协议、485 协议、以太网协议及 CAN 协议的物理层中,都使用了差分信号传输。

5. CAN 协议中的差分信号

        CAN 协议中对它使用的 CAN_High 及 CAN_Low 表示的差分信号做了规定,见下表。以高速 CAN 协议为例,当表示逻辑 1 时(隐性电平),CAN_High 和 CAN_Low线上的电压均为 2.5v,即它们的电压差 VH-VL=0V;而表示逻辑 0 时(显性电平),CAN_High 的电平为 3.5V,CAN_Low 线的电平为 1.5V,即它们的电压差为 VH-VL=2V。

        例如,当 CAN 收发器从 CAN_Tx 线接收到来自 CAN 控制器的低电平信号时(逻辑 0),它会使 CAN_High 输出 3.5V,同时 CAN_Low 输出 1.5V,从而输出显性电平表示逻辑 0。

         在 CAN 总线中,必须使它处于隐性电平(逻辑 1)或显性电平(逻辑 0)中的其中一个状态。假如有两个 CAN 通讯节点,在同一时间,一个输出隐性电平,另一个输出显性电平,类 似 I2C 总线的“线与”特性将使它处于显性电平状态,显性电平的名字就是这样来的,即 可以认为显性具有优先的意味。

协议层

        以上是 CAN 的物理层标准,约定了电气特性,以下介绍的协议层则规定了通讯逻辑。

1. CAN 的波特率及位同步

        由于 CAN 属于异步通讯,没有时钟信号线,连接在同一个总线网络中的各个节点会像串口异步通讯那样,节点间使用约定好的波特率进行通讯,特别地,CAN 还会使用“位同步”的方式来抗干扰、吸收误差,实现对总线电平信号进行正确的采样,确保通讯正常。

位时序分解

        为了实现位同步,CAN 协议把每一个数据位的时序分解成如图所示的 SS 段、 PTS 段、PBS1 段、PBS2 段,这四段的长度加起来即为一个 CAN 数据位的长度。分解后最 小的时间单位是 Tq,而一个完整的位由 8~25 个 Tq 组成。为方便表示,图中的高低电平直接代表信号逻辑 0 或逻辑 1(不是差分信号)。

        该图中表示的 CAN 通讯信号每一个数据位的长度为 19Tq,其中 SS 段占 1Tq,PTS 段 占 6Tq,PBS1 段占 5Tq,PBS2 段占 7Tq。信号的采样点位于 PBS1 段与 PBS2 段之间,通 过控制各段的长度,可以对采样点的位置进行偏移,以便准确地采样。

        SS 段(SYNC SEG)

        SS 译为同步段,若通讯节点检测到总线上信号的跳变沿被包含在 SS 段的范围之内,则表示节点与总线的时序是同步的,当节点与总线同步时,采样点采集到的总线电平

        即可被确定为该位的电平。SS 段的大小固定为 1Tq。

        PTS 段(PROP SEG)

        PTS 译为传播时间段,这个时间段是用于补偿网络的物理延时时间。是总线上输入比较器延时和输出驱动器延时总和的两倍。PTS 段的大小可以为 1~8Tq。

        PBS1 段(PHASE SEG1)

        PBS1 译为相位缓冲段,主要用来补偿边沿阶段的误差,它的时间长度在重新同步的时候可以加长。PBS1 段的初始大小可以为 1~8Tq。

        PBS2 段(PHASE SEG2)

        PBS2 这是另一个相位缓冲段,也是用来补偿边沿阶段误差的,它的时间长度在重新同步时可以缩短。PBS2 段的初始大小可以为 2~8Tq。

        通讯的波特率

        总线上的各个通讯节点只要约定好 1 个 Tq 的时间长度以及每一个数据位占据多少个 Tq,就可以确定 CAN 通讯的波特率。

        例如,假设上图中的 1Tq=1us,而每个数据位由 19 个 Tq 组成,则传输一位数据需要时间 T1bit =19us,从而每秒可以传输的数据位个数为:

        1x10^6 /19 = 52631.6 (bps)

        这个每秒可传输的数据位的个数即为通讯中的波特率。

同步过程分析

        波特率只是约定了每个数据位的长度,数据同步还涉及到相位的细节,这个时候就需要用到数据位内的 SS、PTS、PBS1 及 PBS2 段了。

        根据对段的应用方式差异,CAN 的数据同步分为硬同步和重新同步。其中硬同步只是当存在“帧起始信号”时起作用,无法确保后续一连串的位时序都是同步的,而重新同步方式可解决该问题,这两种方式具体介绍如下:

(1) 硬同步

        若某个 CAN 节点通过总线发送数据时,它会发送一个表示通讯起始的信号(即下一小节介绍的帧起始信号),该信号是一个由高变低的下降沿。而挂载到 CAN 总线上的通讯节点在不发送数据时,会时刻检测总线上的信号。

        见图,可以看到当总线出现帧起始信号时,某节点检测到总线的帧起始信号不在节点内部时序的 SS 段范围,所以判断它自己的内部时序与总线不同步,因而这个状态的采样点采集得的数据是不正确的。所以节点以硬同步的方式调整,把自己的位时序中的 SS段平移至总线出现下降沿的部分,获得同步,同步后采样点就可以采集得正确数据了。

(2) 重新同步

        前面的硬同步只是当存在帧起始信号时才起作用,如果在一帧很长的数据内,节点信号与总线信号相位有偏移时,这种同步方式就无能为力了。因而需要引入重新同步方式,它利用普通数据位的高至低电平的跳变沿来同步(帧起始信号是特殊的跳变沿)。重新同步与硬同步方式相似的地方是它们都使用 SS 段来进行检测,同步的目的都是使节点内的 SS段把跳变沿包含起来。

        重新同步的方式分为超前和滞后两种情况,以总线跳变沿与 SS 段的相对位置进行区分。第一种相位超前的情况如图,节点从总线的边沿跳变中,检测到它内部的时序比总线的时序相对超前 2Tq,这时控制器在下一个位时序中的 PBS1 段增加 2Tq 的时间长度,使得节点与总线时序重新同步。

         第二种相位滞后的情况如下图,节点从总线的边沿跳变中,检测到它的时序比总线的时序相对滞后 2Tq,这时控制器在前一个位时序中的 PBS2 段减少 2Tq 的时间长度,获得同步。

         在重新同步的时候,PBS1 和 PBS2 中增加或减少的这段时间长度被定义为“重新同步 补偿宽度 SJW (reSynchronization Jump Width)”。一般来说 CAN 控制器会限定 SJW 的最大值,如限定了最大 SJW=3Tq 时,单次同步调整的时候不能增加或减少超过 3Tq 的时间长 度,若有需要,控制器会通过多次小幅度调整来实现同步。当控制器设置的 SJW 极限值较 大时,可以吸收的误差加大,但通讯的速度会下降。

2. CAN 的报文种类及结构

        在 SPI 通讯中,片选、时钟信号、数据输入及数据输出这 4 个信号都有单独的信号线,I2C 协议包含有时钟信号及数据信号 2 条信号线,异步串口包含接收与发送 2 条信号线,这些协议包含的信号都比 CAN 协议要丰富,它们能轻易进行数据同步或区分数据传输方 向。而 CAN 使用的是两条差分信号线,只能表达一个信号,简洁的物理层决定了 CAN 必然要配上一套更复杂的协议,如何用一个信号通道实现同样、甚至更强大的功能呢?CAN协议给出的解决方案是对数据、操作命令(如读/写)以及同步信号进行打包,打包后的这些内容称为报文。

        报文的种类

        在原始数据段的前面加上传输起始标签、片选(识别)标签和控制标签,在数据的尾段加上 CRC 校验标签、应答标签和传输结束标签,把这些内容按特定的格式打包好,就可以用一个通道表达各种信号了,各种各样的标签就如同 SPI 中各种通道上的信号,起到了协同传输的作用。当整个数据包被传输到其它设备时,只要这些设备按格式去解读,就能还原出原始数据,这样的报文就被称为 CAN 的“数据帧”。

        为了更有效地控制通讯,CAN 一共规定了 5 种类型的帧。

        数据帧:用于节点向外传送数据。

        遥控帧:用于向远端节点请求数据。

        错误帧:用于向远端节点通知校验错误,请求重新发送上一个数据。

        过载帧:用于通知远端节点:本节点尚未做好接收准备。

        帧间隔:用于将数据帧及遥控帧与前面的帧分离开来。

        数据帧的结构

        数据帧是在 CAN 通讯中最主要、最复杂的报文,我们来了解它的结构。

        数据帧以一个显性位(逻辑 0)开始,以 7 个连续的隐性位(逻辑 1)结束,在它们之间, 分别有仲裁段、控制段、数据段、CRC 段和 ACK 段。

        帧起始

        SOF 段(Start Of Frame),译为帧起始,帧起始信号只有一个数据位,是一个显性电平,它用于通知各个节点将有数据传输,其它节点通过帧起始信号的电平跳变沿来进行硬同步。

        仲裁段

        当同时有两个报文被发送时,总线会根据仲裁段的内容决定哪个数据包能被传输,这也是它名称的由来。

        仲裁段的内容主要为本数据帧的 ID 信息(标识符),数据帧具有标准格式和扩展格式两 种,区别就在于 ID 信息的长度,标准格式的 ID 为 11 位,扩展格式的 ID 为 29 位,它在标准 ID 的基础上多出 18 位。在 CAN 协议中,ID 起着重要的作用,它决定着数据帧发送的优先级,也决定着其它节点是否会接收这个数据帧。CAN 协议不对挂载在它之上的节点分配优先级和地址,对总线的占有权是由信息的重要性决定的,即对于重要的信息,我们会 给它打包上一个优先级高的 ID,使它能够及时地发送出去。也正因为它这样的优先级分配 原则,使得 CAN 的扩展性大大加强,在总线上增加或减少节点并不影响其它设备。

        报文的优先级,是通过对 ID 的仲裁来确定的。根据前面对物理层的分析我们知道如果 总线上同时出现显性电平和隐性电平,总线的状态会被置为显性电平,CAN 正是利用这个特性进行仲裁。

        仲裁段 ID 的优先级也影响着接收设备对报文的反应。因为在 CAN 总线上数据是以广 播的形式发送的,所有连接在 CAN 总线的节点都会收到所有其它节点发出的有效数据,因而我们的 CAN 控制器大多具有根据 ID 过滤报文的功能,它可以控制自己只接收某些 ID的报文。

        回看数据帧格式,可看到仲裁段除了报文 ID 外,还有 RTR、IDE 和 SRR位。

        (1) RTR 位(Remote Transmission Request Bit),译作远程传输请求位,它是用于区分数据帧和遥控帧的,当它为显性电平时表示数据帧,隐性电平时表示遥控帧。

        (2) IDE 位(Identifier Extension Bit),译作标识符扩展位,它是用于区分标准格式与扩展格式,当它为显性电平时表示标准格式,隐性电平时表示扩展格式。

        (3) SRR 位(Substitute Remote Request Bit),只存在于扩展格式,它用于替代标准格式中的RTR 位。由于扩展帧中的 SRR 位为隐性位,RTR 在数据帧为显性位,所以在两个 ID 相同的标准格式报文与扩展格式报文中,标准格式的优先级较高。

        控制段

        在控制段中的 r1 和 r0 为保留位,默认设置为显性位。它最主要的是 DLC 段(Data Length Code),译为数据长度码,它由 4 个数据位组成,用于表示本报文中的数据段含有多 少个字节,DLC 段表示的数字为 0~8。

        数据段

        数据段为数据帧的核心内容,它是节点要发送的原始信息,由 0~8 个字节组成,MSB先行。

        CRC 段

        为了保证报文的正确传输,CAN 的报文包含了一段 15 位的 CRC 校验码,一旦接收节 点算出的 CRC 码跟接收到的 CRC 码不同,则它会向发送节点反馈出错信息,利用错误帧请求它重新发送。CRC 部分的计算一般由 CAN 控制器硬件完成,出错时的处理则由软件控制最大重发数。在 CRC 校验码之后,有一个 CRC 界定符,它为隐性位,主要作用是把 CRC 校验码与后面的 ACK 段间隔起来。

        ACK 段

        ACK 段包括一个 ACK 槽位,和 ACK 界定符位。类似 I2C 总线,在 ACK 槽位中,发送节点发送的是隐性位,而接收节点则在这一位中发送显性位以示应答。在 ACK 槽和帧结束之间由 ACK 界定符间隔开。

        帧结束

        EOF 段(End Of Frame),译为帧结束,帧结束段由发送节点发送的 7 个隐性位表示结束。

        CAN 外设

        STM32 的芯片中具有 bxCAN 控制器 (Basic Extended CAN),它支持 CAN 协议 2.0A 和 2.0B 标准。

        STM32 的有两组 CAN 控制器,其中 CAN1 是主设备,框图中的“存储访问控制器”是由 CAN1 控制的,CAN2 无法直接访问存储区域,所以使用 CAN2 的时候必须使能CAN1 外设的时钟。框图中主要包含 CAN 控制内核、发送邮箱、接收 FIFO 以及验收筛选器,下面对框图中的各个部分进行介绍。

        CAN 控制内核

        处的 CAN 控制内核包含了各种控制寄存器及状态寄存器,我们主要讲解其中的主控制寄存器 CAN_MCR 及位时序寄存器 CAN_BTR。

        主控制寄存器 CAN_MCR

        主控制寄存器 CAN_MCR 负责管理 CAN 的工作模式,它使用以下寄存器位实现控制。

        (1) DBF 调试冻结功能

        DBF(Debug freeze)调试冻结,使用它可设置 CAN 处于工作状态或禁止收发的状态,禁止收发时仍可访问接收 FIFO 中的数据。这两种状态是当 STM32 芯片处于程序调试模 式时才使用的,平时使用并不影响。

        (2) TTCM 时间触发模式

        TTCM(Time triggered communication mode)时间触发模式,它用于配置 CAN 的时间触发通信模式,在此模式下,CAN 使用它内部定时器产生时间戳,并把它保存在CAN_RDTxR、CAN_TDTxR 寄存器中。内部定时器在每个 CAN 位时间累加,在接收和发送的帧起始位被采样,并生成时间戳。利用它可以实现 ISO 11898-4 CAN 标准的分时同步通信功能。

        (3) ABOM 自动离线管理

        ABOM(Automatic bus-off management) 自动离线管理,它用于设置是否使用自动离线 管理功能。当节点检测到它发送错误或接收错误超过一定值时,会自动进入离线状态,在离线状态中,CAN 不能接收或发送报文。处于离线状态的时候,可以软件控制恢复或者直接使用这个自动离线管理功能,它会在适当的时候自动恢复。

        (4) AWUM 自动唤醒

        AWUM(Automatic bus-off management),自动唤醒功能,CAN 外设可以使用软件进入低 功耗的睡眠模式,如果使能了这个自动唤醒功能,当 CAN 检测到总线活动的时候,会自动唤醒。

        (5) NART 自动重传

        NART(No automatic retransmission)报文自动重传功能,设置这个功能后,当报文发送 失败时会自动重传至成功为止。若不使用这个功能,无论发送结果如何,消息只发送一次。

        (6) RFLM 锁定模式

        RFLM(Receive FIFO locked mode)FIFO 锁定模式,该功能用于锁定接收 FIFO。锁定后,当接收 FIFO 溢出时,会丢弃下一个接收的报文。若不锁定,则下一个接收到的报文会覆盖原报文。

        (7) TXFP 报文发送优先级的判定方法

        TXFP(Transmit FIFO priority)报文发送优先级的判定方法,当 CAN 外设的发送邮箱中 有多个待发送报文时,本功能可以控制它是根据报文的 ID 优先级还是报文存进邮箱的顺序来发送。

        位时序寄存器(CAN_BTR)及波特率

        CAN 外设中的位时序寄存器 CAN_BTR 用于配置测试模式、波特率以及各种位内的段参数。

        (1) 测试模式

        为方便调试,STM32 的 CAN 提供了测试模式,配置位时序寄存器 CAN_BTR 的 SILM 及 LBKM 寄存器位可以控制使用正常模式、静默模式、回环模式及静默回环模式。

        正常模式

        正常模式下就是一个正常的 CAN 节点,可以向总线发送数据和接收数据。

        静默模式

        静默模式下,它自己的输出端的逻辑 0 数据会直接传输到它自己的输入端,逻辑1 可以被发送到总线,所以它不能向总线发送显性位(逻辑 0),只能发送隐性位(逻辑 1)。输入端可以从总线接收内容。由于它只可发送的隐性位不会强制影响总线的状态,所以把它称为静默模式。这种模式一般用于监测,它可以用于分析总线上的流量,但又不会因为发送显性位而影响总线。

        回环模式

        回环模式下,它自己的输出端的所有内容都直接传输到自己的输入端,输出端的内容同时也会被传输到总线上,即也可使用总线监测它的发送内容。输入端只接收自己发送端的内容,不接收来自总线上的内容。使用回环模式可以进行自检。

        回环静默模式

        回环静默模式是以上两种模式的结合,自己的输出端的所有内容都直接传输到自己的输入端,并且不会向总线发送显性位影响总线,不能通过总线监测它的发送内容。输入端只接收自己发送端的内容,不接收来自总线上的内容。这种方式可以在“热自检”时使用,即自我检查的时候,不会干扰总线。

        以上说的各个模式,是不需要修改硬件接线的。

        (2) 位时序及波特率

        STM32 的 CAN 外设位时序中只包含 3 段,分别是同步段 SYNC_SEG、位段 BS1 及段 BS2,采样点位于 BS1 及 BS2 段的交界处。其中 SYNC_SEG 段固定长度为 1Tq,而BS1 及 BS2 段可以在位时序寄存器 CAN_BTR 设置它们的时间长度,它们可以在重新同步期间增长或缩短,该长度 SJW 也可在位时序寄存器中配置。

        通过配置位时序寄存器 CAN_BTR 的TS1[3:0]及 TS2[2:0]寄存器位设定 BS1 及 BS2 段的长度后,我们就可以确定每个 CAN 数据位的时间:

        BS1 段时间:

        TS1=Tq x (TS1[3:0] + 1),

        BS2 段时间:

        TS2= Tq x (TS2[2:0] + 1),

        一个数据位的时间:

        T1bit =1Tq+TS1+TS2 =1+ (TS1[3:0] + 1)+ (TS2[2:0] + 1)= N Tq

        其中单个时间片的长度 Tq 与 CAN 外设的所挂载的时钟总线及分频器配置有关,CAN1 和 CAN2 外设都是挂载在 APB1 总线上的,而位时序寄存器 CAN_BTR 中的 BRP[9:0]寄存器位可以设置 CAN 外设时钟的分频值 ,所以:

        Tq = (BRP[9:0]+1) x TPCLK

        其中的 PCLK 指 APB1 时钟,默认值为 42MHz。

        最终可以计算出 CAN 通讯的波特率:

        BaudRate = 1/N Tq

         CAN 发送邮箱

        号处的是 CAN 外设的发送邮箱,它一共有 3个发送邮箱,即最多可以缓存 3 个待发送的报文。每个发送邮箱中包含有标识符寄存器 CAN_TIxR、数据长度控制寄存器 CAN_TDTxR及 2 个数据寄存器 CAN_TDLxR、CAN_TDHxR。

        当我们要使用 CAN 外设发送报文时,把报文的各个段分解,按位置写入到这些寄存器中,并对标识符寄存器 CAN_TIxR 中的发送请求寄存器位 TMIDxR_TXRQ 置 1,即可把数据发送出去。

        其中标识符寄存器 CAN_TIxR 中的 STDID 寄存器位比较特别。我们知道 CAN 的标准标识符的总位数为 11 位,而扩展标识符的总位数为 29 位的。当报文使用扩展标识符的时候,标识符寄存器 CAN_TIxR 中的 STDID[10:0]等效于 EXTID[18:28]位,它与 EXTID[17:0] 共同组成完整的 29 位扩展标识符。

        3. CAN 接收 FIFO

        标号3处的是 CAN 外设的接收 FIFO,它一共有 2 个接收 FIFO,每个 FIFO 中有 3 个邮箱,即最多可以缓存 6 个接收到的报文。当接收到报文时,FIFO 的报文计数器会自增,而 STM32 内部读取 FIFO 数据之后,报文计数器会自减,我们通过状态寄存器可获知报文计数器的值,而通过前面主控制寄存器的 RFLM 位,可设置锁定模式,锁定模式下 FIFO 溢出时会丢弃新报文,非锁定模式下 FIFO 溢出时新报文会覆盖旧报文。

        跟发送邮箱类似,每个接收 FIFO 中包含有标识符寄存器 CAN_RIxR、数据长度控制寄存器 CAN_RDTxR 及 2 个数据寄存器 CAN_RDLxR、CAN_RDHxR。

        4. 验收筛选器

        标号4处的是 CAN 外设的验收筛选器,一共有 28 个筛选器组,每个筛选器组有 2 个寄存器,CAN1 和 CAN2 共用的筛选器的。

        在 CAN 协议中,消息的标识符与节点地址无关,但与消息内容有关。因此,发送节点将 报文广播给所有接收器时,接收节点会根据报文标识符的值来确定软件是否需要该消息,为了简化软件的工作,STM32 的 CAN 外设接收报文前会先使用验收筛选器检查,只接收需要的报文到 FIFO 中。

        筛选器工作的时候,可以调整筛选 ID 的长度及过滤模式。根据筛选 ID 长度来分类有 有以下两种:

        (1) 检查 STDID[10:0]、 EXTID[17:0]、 IDE 和 RTR 位,一共 31 位。

        (2) 检查 STDID[10:0]、 RTR、 IDE 和 EXTID[17:15],一共 16 位。

        通过配置筛选尺度寄存器 CAN_FS1R 的 FSCx 位可以设置筛选器工作在哪个尺度。而根据过滤的方法分为以下两种模式:

        (1) 标识符列表模式,它把要接收报文的 ID 列成一个表,要求报文 ID 与列表中的某一个标识符完全相同才可以接收,可以理解为白名单管理。

        (2) 掩码模式,它把可接收报文 ID 的某几位作为列表,这几位被称为掩码,可以把它理解成关键字搜索,只要掩码(关键字)相同,就符合要求,报文就会被保存到接收 FIFO。

通过配置筛选模式寄存器 CAN_FM1R 的 FBMx 位可以设置筛选器工作在哪个模式。

         每组筛选器包含 2 个 32 位的寄存器,分别为 CAN_FxR1 和 CAN_FxR2,它们用来存储要筛选的 ID 或掩码。

         例如下面的表格所示,在掩码模式时,第一个寄存器存储要筛选的 ID,第二个寄存器 存储掩码,掩码为 1 的部分表示该位必须与 ID 中的内容一致,筛选的结果为表中第三行的 ID 值,它是一组包含多个的 ID 值,其中 x 表示该位可以为 1 可以为 0。

        而工作在标识符模式时,2 个寄存器存储的都是要筛选的 ID,它只包含 2 个要筛选的 ID 值(32 位模式时)。

        如果使能了筛选器,且报文的 ID 与所有筛选器的配置都不匹配,CAN 外设会丢弃该报文,不存入接收 FIFO。

准备

        MDK5 开发环境。

        STM32F4xx HAL库。

        STM32F407 开发板。

        STM32F4xx 参考手册。

        STM32F4xx 数据手册。

        STM32F407 开发板电路原理图。

步骤

  • 新建两个文件bsp_can.c和bsp_can.h。在bsp_can.h中定义宏和函数。
#ifndef __BSP_CAN_H
#define __BSP_CAN_H

#include "stm32f4xx.h"

#define u8 uint8_t
#define u16 uint16_t
#define u32 uint32_t

#define CAN1_RX0_INT_ENABLE 1 // 接收中断使能开关

// can初始化
u8 CAN1_Mode_Init(u32 tsjw,u32 tbs2,u32 tbs1,u16 brp,u32 mode);
// 发送数据
u8 CAN1_Send_Msg(u8* msg,u8 len);
// 接收数据
u8 CAN1_Receive_Msg(u8 *buf);

#endif
  • 在bsp_can.c中引入头文件,实现can配置.

        此部分代码总共 5 个函数,首先是:CAN_Mode_Init 函数。该函数用于 CAN 的初始化,该函数带有 5 个参数,可以设置 CAN 通信的波特率和工作模式等,我们设计滤波器组 0 工作在 32 位标识符屏蔽模式,从设计值可以看出,该滤波器是不会对任何标识符进行过滤的,因为所有的标识符位都被设置成不需要关心,这样设计,主要是方便大家实验。

        第二个函数,HAL_CAN_MspInit 函数。该函数为 CAN 的 MSP 初始化回调函数。

        第三个函数,Can_Send_Msg 函数。该函数用于 CAN 报文的发送,主要是设置标识符 ID 等信息,写入数据长度和数据,并请求发送,实现一次报文的发送。

        第四个函数,Can_Receive_Msg 函数。用来接受数据并且将接受到的数据存放到 buf 中。

        an.c 里面,还包含了中断接收的配置,通过 can.h 的 CAN1_RX0_INT_ENABLE 宏定义,来配置是否使能中断接收,本章我们不开启中断接收的。

        can.h 头文件中,CAN1_RX0_INT_ENABLE 用于设置是否使能中断接收,本章我们不用中 断接收,故设置为 0。

#include "bsp_can.h"
#include "bsp_can.h"

CAN_HandleTypeDef CAN1_Handler; //CAN1 句柄

CAN 初始化
//tsjw:重新同步跳跃时间单元.范围:CAN_SJW_1TQ~CAN_SJW_4TQ
//tbs2:时间段 2 的时间单元. 范围:CAN_BS2_1TQ~CAN_BS2_8TQ;
//tbs1:时间段 1 的时间单元. 范围:CAN_BS1_1TQ~CAN_BS1_16TQ
//brp :波特率分频器.范围:1~1024; tq=(brp)*tpclk1
//波特率=Fpclk1/((tbs1+tbs2+1)*brp); 其中 tbs1 和 tbs2 我们只用关注标识符上标志的序号,
//例如 CAN_BS2_1TQ,我们就认为 tbs2=1 来计算即可。
//mode:CAN_MODE_NORMAL,普通模式;CAN_MODE_LOOPBACK,回环模式;
//Fpclk1 的时钟在初始化的时候设置为 45M,如果设置 CAN1_Mode_Init(
//CAN_SJW_1tq,CAN_BS2_6tq,CAN_BS1_8tq,6,CAN_MODE_LOOPBACK);
//则波特率为:45M/((6+8+1)*6)=500Kbps
//返回值:0,初始化 OK;
// 其他,初始化失败;
u8 CAN1_Mode_Init(u32 tsjw,u32 tbs2,u32 tbs1,u16 brp,u32 mode)
{
	CAN_FilterTypeDef CAN1_FilerConf;
	
	CAN1_Handler.Instance = CAN1;
	CAN1_Handler.Init.Mode = mode; //模式设置
	CAN1_Handler.Init.Prescaler = brp; //分频系数(Fdiv)为 brp+1
	CAN1_Handler.Init.TimeSeg1 = tbs1; //tbs1 范围 CAN_BS1_1TQ~CAN_BS1_16TQ
	CAN1_Handler.Init.TimeSeg2 = tbs2; //tbs2 范围 CAN_BS2_1TQ~CAN_BS2_8TQ
	CAN1_Handler.Init.SyncJumpWidth = tsjw; //重新同步跳跃宽度
	CAN1_Handler.Init.AutoBusOff = DISABLE; //软件自动离线管理
	CAN1_Handler.Init.AutoWakeUp = DISABLE; //睡眠模式通过软件唤醒
	CAN1_Handler.Init.AutoRetransmission = ENABLE; //禁止报文自动传送
	CAN1_Handler.Init.ReceiveFifoLocked = DISABLE; //报文不锁定,新的覆盖旧的
	CAN1_Handler.Init.TimeTriggeredMode = DISABLE; //非时间触发通信模式
	CAN1_Handler.Init.TransmitFifoPriority = DISABLE; //优先级由报文标识符决定
	if(HAL_CAN_Init(&CAN1_Handler) != HAL_OK) 
		return 1; //初始化 CAN1
	
	CAN1_FilerConf.FilterIdHigh = 0x0000; //32 位 ID
	CAN1_FilerConf.FilterIdLow  = 0x0000;
	CAN1_FilerConf.FilterMaskIdHigh = 0x0000; //32 位 MASK
	CAN1_FilerConf.FilterMaskIdLow  = 0x0000;
	CAN1_FilerConf.FilterBank = 0; //过滤器 0
	CAN1_FilerConf.FilterFIFOAssignment = CAN_FilterFIFO0; //过滤器 0 关联到 FIFO0
	CAN1_FilerConf.FilterMode = CAN_FILTERMODE_IDMASK;
	CAN1_FilerConf.FilterScale = CAN_FILTERSCALE_32BIT;
	CAN1_FilerConf.FilterActivation = ENABLE;
	CAN1_FilerConf.SlaveStartFilterBank = 14;
	if(HAL_CAN_ConfigFilter(&CAN1_Handler, &CAN1_FilerConf) != HAL_OK) 
		return 2;
	
	HAL_CAN_Start(&CAN1_Handler); // 开启CAN模块
	
	return 0;
}

//CAN 底层驱动,引脚配置,时钟配置,中断配置
//此函数会被 HAL_CAN_Init()调用
//hcan:CAN 句柄
void HAL_CAN_MspInit(CAN_HandleTypeDef* hcan)
{
	GPIO_InitTypeDef GPIO_Initure;
	
	__HAL_RCC_CAN1_CLK_ENABLE(); //使能 CAN1 时钟
	__HAL_RCC_GPIOA_CLK_ENABLE(); //开启 GPIOA 时钟
	
	GPIO_Initure.Pin = GPIO_PIN_11 | GPIO_PIN_12; //PA11,12
	GPIO_Initure.Mode = GPIO_MODE_AF_PP; //推挽复用
	GPIO_Initure.Pull = GPIO_PULLUP; //上拉
	GPIO_Initure.Speed = GPIO_SPEED_FAST; //快速
	GPIO_Initure.Alternate = GPIO_AF9_CAN1; //复用为 CAN1
	HAL_GPIO_Init(GPIOA,&GPIO_Initure); //初始化
	
#if CAN1_RX0_INT_ENABLE
	__HAL_CAN_ENABLE_IT(&CAN1_Handler,CAN_IT_RX_FIFO0_FULL); //FIFO0 挂起中断允许
	HAL_NVIC_SetPriority(CAN1_RX0_IRQn,1,1); //抢占优先级 1,子优先级 2
	HAL_NVIC_EnableIRQ(CAN1_RX0_IRQn);	 //使能中断
#endif
}

#if CAN1_RX0_INT_ENABLE
//CAN 中断服务函数
void CAN1_RX0_IRQHandler(void)
{ 
	HAL_CAN_IRQHandler(&CAN1_Handler); //此函数会调用 CAN_Receive_IT()接收数据
}

//CAN 中断处理过程
//此函数会被 CAN_Receive_IT()调用
//hcan:CAN 句柄
void HAL_CAN_RxCpltCallback(CAN_HandleTypeDef* hcan)
{
	u8 i;
	//CAN_Receive_IT()函数会关闭 FIFO0 消息挂号中断,因此我们需要重新打开
  __HAL_CAN_ENABLE_IT(&CAN1_Handler,CAN_IT_RX_FIFO0_FULL);//重新开启 FIF00 消息挂号中断

	/*...*/
}
#endif

//can 发送一组数据(固定格式:ID 为 0X12,标准帧,数据帧)
//len:数据长度(最大为 8)
//msg:数据指针,最大为 8 个字节.
//返回值:0,成功;
// 其他,失败;
u8 CAN1_Send_Msg(u8* msg,u8 len)
{
	uint32_t TxMailbox;
	CAN_TxHeaderTypeDef CANTx_Handler;
	
	CANTx_Handler.StdId=0X12; //标准标识符
	CANTx_Handler.ExtId=0x12; //扩展标识符(29 位)
	CANTx_Handler.IDE=CAN_ID_STD; //使用标准帧
	CANTx_Handler.RTR=CAN_RTR_DATA; //数据帧
	CANTx_Handler.DLC=len;
	CANTx_Handler.TransmitGlobalTime = DISABLE;
	
	//发送数据
	if(HAL_CAN_AddTxMessage(&CAN1_Handler,&CANTx_Handler,msg,&TxMailbox)!=HAL_OK) 
	return 0; 

	return 1;
}

//can 口接收数据查询
//buf:数据缓存区;
//返回值:0,无数据被收到;
// 其他,接收的数据长度;
u8 CAN1_Receive_Msg(u8 *buf)
{
	CAN_RxHeaderTypeDef CANRx_Handler;
	
	CANRx_Handler.StdId = 0X12; //标准标识符
	CANRx_Handler.ExtId = 0X12; //扩展标识符(29 位)
	CANRx_Handler.IDE = CAN_ID_STD; //使用标准帧
	CANRx_Handler.RTR = CAN_RTR_DATA; //数据帧
	CANRx_Handler.DLC = 8;
	CANRx_Handler.FilterMatchIndex = 0;
	CANRx_Handler.Timestamp = 0;
	// 接收数据
	if(HAL_CAN_GetRxMessage(&CAN1_Handler,CAN_RX_FIFO0,&CANRx_Handler,buf)!=HAL_OK) 
	return 0;
		
	return 1;
}
  • 在main.c的主函数中实现CAN回环测试和双机通讯。通过KEY1发送数据,KEY2控制切换回环或正常模式,在循环中接受数据。
#include "bsp_clock.h"
#include "bsp_uart.h"
#include "bsp_key.h"
#include "bsp_can.h" 
#include "bsp_led.h"

int main(void)
{
	uint8_t Txbuf[8] = {1,2,3,4,5,6,7,8}; // 发送的数据
	uint8_t Rxbuf[8]; // 接收数组
	uint8_t i, sta, mode=0;
	
	CLOCLK_Init(); // 初始化系统时钟
	UART_Init(); // 串口初始化
	KEY_Init(); // 按键初始化
	LED_Init(); // LED初始化
	CAN1_Mode_Init(CAN_SJW_1TQ, CAN_BS2_6TQ, CAN_BS1_8TQ, 6, CAN_MODE_LOOPBACK); // CAN1初始化
	
	while(1)
	{
		uint8_t key = KEY_Scan(0); 
		 if(key == 2) // KEY1按下
		{ 
			 LED1_Toggle; // 点亮LED1
			 printf("Sand data \n");
				for(i=0; i<8; i++) // 打印发送的数据
			  {  
					printf("%d ", Txbuf[i]);
				}
				printf("\n");
				CAN1_Send_Msg(Txbuf, 8); // 发送数据
		 }
		 
		 if(key == 1) // KEY0 切换模式 1 回环 0 正常
		{ 
				mode = !mode;
			 if(mode)
			 {
				 printf("LOOPBACK MODE ! \n");
				 CAN1_Mode_Init(CAN_SJW_1TQ, CAN_BS2_6TQ, CAN_BS1_8TQ, 6, CAN_MODE_LOOPBACK);
			 }
			 else
			 {
				 printf("NORMAL MODE ! \n");
			   CAN1_Mode_Init(CAN_SJW_1TQ, CAN_BS2_6TQ, CAN_BS1_8TQ, 6, CAN_MODE_NORMAL);
			 }
			 
		 }
		 
		 HAL_Delay(50);
		 
		 sta = CAN1_Receive_Msg(Rxbuf); // 循环接收数据 得到数据
		 if(sta) // 接收完成
		 { 
			 LED2_Toggle; // 点亮LED2
			 printf("Recv data \n");
			for(i=0;i<8;i++) // 打印接收的数据
			{ 
				printf("%d ",Rxbuf[i]);
			}
			printf("\n");
		 }
		 
		 HAL_Delay(50);
	}
}

现象

        首先我们测试回环模式,KEY0切换到回环模式。把编译好的程序下载到开发板,打开串口助手。KEY1发送数据包同时点亮LED1,如果接收到数据点亮LED2,打印数据包内容。

        接着测试正常模式,也就是双机通讯。找到开发板CAN1口,用两根线连接两块开发板的CAN口,CAN_High对应CAN_High,CAN_Low对应CAN_Low。然后按KEY0,初始化为正常模式。板1发送数据,如果板2接收到数据,板2的LED2会点亮,同时串口也会有数据打印。

  • 8
    点赞
  • 40
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

奚海蛟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值