基于FPGA的拔河游戏机的设计VHDL代码Quartus仿真

名称:基于FPGA的拔河游戏机的设计VHDL代码Quartus仿真(文末获取)

软件:Quartus

语言:VHDL

代码功能:

拔河游戏机的设计

设计要求如下:

1、设计一个能进行拔河游戏的电路

2、电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点

3、游戏双方各持一个技钮,迅速地、不断地按动,产生脉冲,谁技得快,亮点就向谁的方向移动,每按十次,亮点移动一次

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、用数码管显示双方按键的次数,

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 仿真图

按键计数模块仿真

拔河控制模块仿真

部分代码展示:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity bahe is
port (
    -- CLOCK
    CLK: in std_logic;
reset_n        : IN STD_LOGIC;--复位信号,低有效
    key1_in: in std_logic;--选手1
key2_in: in std_logic;--选手2
     
    -- LED
    led            : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--7个led
      SEL     : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);--数码管位选
      seg_select     : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--数码管段选
 );
end bahe;
architecture behav of bahe is
    
signal  key1_num        : STD_LOGIC_VECTOR(15 DOWNTO 0);--选手1按键次数
signal  key2_num        : STD_LOGIC_VECTOR(15 DOWNTO 0);--选手2按键次数
signal  shift1       : STD_LOGIC;--移位1
signal  shift2       : STD_LOGIC;--移位2
signal  Button1       : STD_LOGIC;--选手1
signal  Button2       : STD_LOGIC;--选手2  
--按键消抖模块
component key_jitter IS
   PORT (
      clkin        : IN STD_LOGIC;--50M
      key_in       : IN STD_LOGIC;--按键输入
      key_negedge  : OUT STD_LOGIC--按键下降沿输出
   );
END component;
--控制模块
component bahe_ctrl IS
   PORT (
      clk       : IN STD_LOGIC;--标准时钟
      reset_n        : IN STD_LOGIC;--复位信号,低有效 
      shift1       : IN STD_LOGIC;--选手1
      shift2       : IN STD_LOGIC;--选手2
      led            : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)--7个led
   );
END component;
--显示模块
component display IS
   PORT (
      clk            : IN STD_LOGIC;
      key1_num        : IN STD_LOGIC_VECTOR(15 DOWNTO 0);--选手1按键次数
      key2_num        : IN STD_LOGIC_VECTOR(15 DOWNTO 0);--选手2按键次数
      SEL     : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);--数码管位选
      seg_select     : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--数码管段选
   );
END component;
--按键计数模块
component key_cnt IS
   PORT (
      clk       : IN STD_LOGIC;--标准时钟
      reset_n        : IN STD_LOGIC;--复位信号,低有效 
      Button1       : IN STD_LOGIC;--选手1
      Button2       : IN STD_LOGIC;--选手2
      shift1       : OUT STD_LOGIC;--移位1
      shift2       : OUT STD_LOGIC;--移位2
      key1_num        : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);--选手1按键次数
      key2_num        : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)--选手2按键次数
   );
END component;
    
begin
--按键消抖模块
U1_key_jitter: key_jitter
   PORT MAP(
      clkin        =>CLK, --50M
      key_in       =>key1_in, --按键输入
      key_negedge  =>Button1--按键下降沿输出
   );
--按键消抖模块
U2_key_jitter: key_jitter
   PORT MAP(
      clkin        =>CLK, --50M
      key_in       =>key2_in, --按键输入
      key_negedge  =>Button2--按键下降沿输出
   );
   
--按键计数模块
U_key_cnt: key_cnt
源代码

 扫描文章末尾的公众号二维码

  • 5
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
基于FPGA拔河游戏机代码需要涉及到硬件设计和Verilog/VHDL语言编程。以下是一个简单的实现示例: ```verilog module tugging_game( input clk, input rst, input player1_button, input player2_button, output led, output [7:0] score ); // 定义状态枚举 typedef enum logic [1:0] { IDLE = 2'b00, READY = 2'b01, P1_PULL = 2'b10, P2_PULL = 2'b11 } state_t; // 定义计数器,用于计算每个玩家的得分 reg [7:0] p1_score = 0; reg [7:0] p2_score = 0; // 定义状态寄存器和状态转移逻辑 reg [1:0] state = IDLE; always_ff @(posedge clk) begin if (rst) begin state <= IDLE; end else begin case (state) IDLE: if (player1_button && player2_button) begin state <= READY; end READY: if (!player1_button || !player2_button) begin state <= IDLE; end else if (player1_button) begin state <= P1_PULL; end else if (player2_button) begin state <= P2_PULL; end P1_PULL: if (!player1_button) begin state <= READY; if (p1_score < 255) begin p1_score <= p1_score + 1; end end P2_PULL: if (!player2_button) begin state <= READY; if (p2_score < 255) begin p2_score <= p2_score + 1; end end endcase end end // 定义LED输出和分数输出 assign led = (state == READY) ? 1'b1 : 1'b0; assign score = (state == READY) ? {p1_score, p2_score} : 16'h0000; endmodule ``` 该代码实现了一个简单的拔河游戏机,其中包括四个状态:空闲(IDLE)、准备(READY)、玩家1拉绳(P1_PULL)和玩家2拉绳(P2_PULL)。当玩家1和玩家2同时按下按钮时,游戏进入准备状态。在准备状态下,如果任何一位玩家松开按钮,则游戏返回到空闲状态;如果玩家1按下按钮,则游戏转移到玩家1拉绳状态;如果玩家2按下按钮,则游戏转移到玩家2拉绳状态。在玩家1或玩家2松开按钮后,游戏返回到准备状态,并计算两个玩家的得分。LED输出指示游戏是否处于准备状态,分数输出显示玩家1和玩家2的得分。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值