FPGA学习笔记:带有标志信号的计数器

 

 左边为输入信号,右边为输出信号,clk为时钟,rst为复位信号,本代码计划当时钟信号变化CNT_MAX+1个周期时将led_out变化一次。

代码如下,其中cnt为计数器,cnt_flag为标志进行,使用标志信号的原因是可以更好地节省fpga的资源。

module counter
#(
    parameter                           CNT_MAX = 25'd24_999_999    
)
(
    input  wire                         sys_clk                    ,
    input  wire                         sys_rst_n                  ,

    output reg                          led_out                     
);
reg                      [24:0]         cnt                        ;
reg                                     cnt_flag                   ;
//for cnt
always @(posedge sys_clk or negedge sys_rst_n)
if(sys_rst_n==1'b0)
    cnt<=25'b0;
else if(cnt==CNT_MAX)
    cnt<=25'b0;
else
    cnt<=cnt+25'b1;
//for cnt_flag
always @(posedge sys_clk or negedge sys_rst_n)
if(sys_rst_n==1'b0)
    cnt_flag<=1'b0;
else if(cnt==CNT_MAX-1)
    cnt_flag<=1;
else
    cnt_flag<=0;
//for led_out
always @(posedge sys_clk or negedge sys_rst_n)
if(sys_rst_n==1'b0)
    led_out<=1'b0;
else if(cnt_flag==1'b1)
    led_out<=~led_out;
else
    led_out<=led_out;
endmodule

最终的RTL视图如下:

 

编写仿真文件:

`timescale 1ns/1ns
module tb_counter();
reg                                     sys_clk                    ;
reg                                     sys_rst_n                  ;
wire                                    led_out                    ;
initial begin
    sys_clk=1'b1;
    sys_rst_n<=1'b0;
    #20
    sys_rst_n<=1'b1;
end
always #10 sys_clk=~sys_clk;
counter #(.CNT_MAX(25'd12)
) counter_inst
(
    .sys_clk                           (sys_clk                   ),
    .sys_rst_n                         (sys_rst_n                 ),
    .led_out                           (led_out                   ) 
);
endmodule

最终的仿真波形图如下:

从图中可以看出仿真成功,若连接FPGA的led灯接口,可实现灯每隔13个时钟周期闪烁一次。 

 

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值