vivado2018.3和modelsim10.6d进行联合仿真

  • 首先确认modelsim的型号是否在modelsim10.6c及以上,没有需要重新安装

问题分析 ERROR: [Vivado 12-5602] compile_simlib failed to compile for modelsim with error in 8 libraries (cxl_error.log)

  • 我用modelsim10.1c进行编译 (Vivado下进行Compile Simulation Libraries )时报错,后来我想了一下,之前好像配置了modelsim和ISE vivado14.7进行联合仿真,打开modelsim.ini查看
    在这里插入图片描述

  • 但是我去掉这部分ISE的配置信息后编译还是报错,只是报错信息少了一点
    在这里插入图片描述

  • 报错信息在Tcl下查看
    在这里插入图片描述

  • 提示可以在log文件查看,我懒就直接安装新版本的modelsim10.6d
    在这里插入图片描述

  • 参考了xilinx论坛的回复 vivado仿真报错可以看看环境变量是否设置了MODELSIM
    在这里插入图片描述


安装modelsim10.6d


  • tools 下打开 Compile Simulation Libraries ,如果tools下没有这个选项,点点其他模块试试我这是点了Sources
    在这里插入图片描述

  • 为了获得更快的编译速度,Family家族中选择芯片型号,Compiled library location选择在xilinx下新建一个文件夹xilinx_lib,simulator executable path modelsim所在文件夹 D:\modeltech64_10.6d\win64。选择一篇文章说注意compile xilinx IP选项不勾选,有的文章又勾了,不管了先去掉再说,如果仿真结果出不来就重新编译
    在这里插入图片描述

  • 终于结果是0 errors
    在这里插入图片描述

  • tools下打开settings的3rd Party Simultaors,指定modelsim安装路径和编译库位置
    在这里插入图片描述

  • Simulations下指定Target simulator为modelism,顶层文件取名为testbench,修改编译库位置为之前编译库的位置
    在这里插入图片描述

  • 测试modelsim,添加testbench后运行仿真run behavior simulation后modelsim就会自动弹出来进行仿真
    在这里插入图片描述

  • 弹出来时还报了一个 “” invalid command无效的错误,好在不影响什么,点OK后modelsim依然正常弹出。
    在这里插入图片描述

  • 以下是vivado下的自动
    在这里插入图片描述

  • 另外分享几个modelsim的快捷键,ctrl+A全选信号,ctrl+G对不同模块信号进行分组,底下还有一个toggle leaf names能把信号名字变短从而便于分析
    在这里插入图片描述

  • 联合仿真设置参考资料 vivado2018.3 与modelsim联合仿真 https://blog.csdn.net/weixin_40377195/article/details/89038414 vivado与modelsim的联合仿真https://blog.csdn.net/weixin_37603007/article/details/82823965

  • 6
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值