verilog数组的定义、转换和加法器的实现

一、verilog中数组

1、一维数组

看了别人的博客有的人也称reg [31:0] add0[0:12]这样的数组为二维数组,其实中二维数组不是真正意义上的数组,而是由多个寄存器组成的ROM或者RAM。我觉得这样理解好记一点:这个是一维数组,一共有0到12共13组数据,每组数据的宽度是0到31一共32个位宽。

reg [31:0] add0[0:12];//前面[31:0]表示位宽,add0代表存储的名字,[0:12]代表0到12共13个组
reg [31:0] add1[0:6];//前面[31:0]表示位宽,add1代表存储的名字,[0:6]代表0到6共7个组
reg [31:0] add2[0:3];
reg [31:0] add3[0:1];

assign data=add0[6][31:24];//调用第7组的高8位数据 

在这里插入图片描述

2、二维数组

reg [23:0] window_mul_result[4:0][4:0];//reg [23:0] window_mul_result[0:4][0:4]效果好像是一样的

二、加法实现的两种方法:

1. 方法一

reg [23:0] window_mul_result[4:0][4:0];
wire [31:0] window_sum;
//=====================方法1 此方法消耗较大的lut===================================================
assign window_sum=window_mul_result[0][0]+window_mul_result[0][1]+window_mul_result[0][2]+window_mul_result[0][3]+window_mul_result[0][4]+
                  window_mul_result[1][0]+window_mul_result[1][1]+window_mul_result[1][2]+window_mul_result[1][3]+window_mul_result[1][4]+
                  window_mul_result[2][0]+window_mul_result[2][1]+window_mul_result[2][2]+window_mul_result[2][3]+window_mul_result[2][4]+
                  window_mul_result[3][0]+window_mul_result[3][1]+window_mul_result[3][2]+window_mul_result[3][3]+window_mul_result[3][4]+
                  window_mul_result[4][0]+window_mul_result[4][1]+window_mul_result[4][2]+window_mul_result[4][3]+window_mul_result[4][4];

优势:简单易于编程

2、方法二

//==============================方法2 采用加法树add tree=========================================
reg [23:0] window_mul_result[4:0][4:0];
wire [31:0] window_sum;
reg [31:0] add0[0:12];
reg [31:0] add1[0:6];
reg [31:0] add2[0:3];
reg [31:0] add3[0:1];
wire [16-1:0] window_mul_result_4tree[0:24];//这个定于的作用是将reg [23:0] window_mul_result[4:0][4:0]二维数组变为一维数组,
											//每一组数据的位宽由24位缩小为16位。二维变为一维数组的好处就是循环的时候好遍历索引

always@(posedge clk)begin
    //============add0================
    for(i=0;i<12;i=i+1)begin
        add0[i]<=window_mul_result_4tree[i*2]+window_mul_result_4tree[i*2+1];
    end
        add0[12]<=window_mul_result_4tree[24]+0;
    //==============add1==================
    for(i=0;i<6;i=i+1)begin
        add1[i]<=add0[i*2]+add0[i*2+1];
    end
        add1[6]<=add0[12]+0;
     //==============add2==================
    for(i=0;i<3;i=i+1)begin
        add2[i]<=add1[i*2]+add1[i*2+1];
    end
        add2[3]<=add1[6]+0;
       //==============add3==================
    for(i=0;i<2;i=i+1)begin
        add3[i]<=add2[i*2]+add2[i*2+1];
    end
end
assign window_sum=add3[0]+add3[1];

优势:节约资源,但是会晚4个时钟出结果
在这里插入图片描述

在这里插入图片描述

  • 14
    点赞
  • 66
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值