紫光FPGA DDR3 IP使用和注意事项(axi4协议)

紫光DDR3 IP使用

对于紫光ddr3 IP核的使用需要注意事情。

阅读ddr ip手册:

image-20231206105944936

image-20231206101247948

image-20231206101119692

image-20231206101100731

1、注意:对于写地址通道,axi_awvalid要一直拉高,axi_awready才会拉高。使用的芯片型号时PG2L100H-6FBG676,不同的型号IP核接口和axi的握手协议也不一样(一定要注意),这点要注意,这也给我挖了一个很大的坑,一把心酸一把泪啊。下图是上板之后通过debug和jtag_hub IP核抓取的信号

image-20231206090853901

与芯片匹配的IP核:

image-20231206091155195

image-20231206093658806

2、写地址握手的过程,代码解析

用户需要一直拉高axi_awvalid地址有效信号,直至ddr返还axi_awready信号,两者同时为高完成握手信号,完成握手之后用户 就可以把axi_awvalid信后拉低,为下次握手做好准备。下面代码就是握手的过程

8'd1:begin//先拉高axi_awvalid信号,然后 等待axi_awready信号
           axi_awuser_ap  <= 'b0;
           axi_awvalid <= 1;
           if(axi_awvalid & axi_awready)//握手信号
             begin
               axi_awvalid <= 1'b0;//完成握手用户拉低axi_awvalid信号,为下次握手做好准备
               axi_awaddr<=28'h0000111;//握手成功就写入首地址,
               axi_awid<=8'h01;
               axi_awlen<=8'd120;//实际长度为 axi_awlen+1
               state<=8'd2;
             end
            else//等待写地址握手成功
              begin
               state<=8'd1;
              end
         end 

image-20231206094510840

这段代码是用状态机的方式对ddr循环写地址,只有两个状态,状态1写入地址0x0000111,状态2写入地址0x0000311,对应上面图的


reg [7:0] cnt /* synthesis syn_keep = 1 */;// synthesis syn_keep = 1 防止信号被优化的语句
//reg [256-1:0] rd_data /* synthesis syn_keep = 1 */;
reg rd_valid /* synthesis syn_keep = 1 */;
always @(posedge axi_clk or negedge rst_n)
begin
if (!rst_n) 
  begin
    state<=8'd0;
    axi_awvalid<=1'b0;
    //axi_wvalid<=1'b0;
    axi_arvalid<=1'b0;
    axi_wdata<=256'b0;
    axi_aruser_ap <= 1'b0;
	axi_awuser_ap <= 1'b0;
	 wr_wlast<=1'b0;
  end
else
  begin
    case(state) 
    8'd0:begin//空闲状态
            if(ddr_init_done==1'b1 && test_start==1'b0)
               state<=8'd1;
            else
               state<=8'd0; 
               axi_awvalid<=1'b0;
               axi_arvalid<=1'b0;
               axi_wdata<=256'b0;
        end    
    8'd1:begin//先拉高axi_awvalid信号,然后 等待axi_awready信号
           axi_awuser_ap  <= 'b0;
           axi_awvalid <= 1;//这里注意,一定要先拉高axi_awvalid这个信号,否则ddr3 IP不会返还这个axi_awready信号。axi_awready没有被拉高的原因就在这里
           axi_awaddr<=28'h0000111;//握手成功就写入首地址,
           axi_awid<=4'h1;
        axi_awlen<=4'd12;//实际长度为 axi_awlen+1,只能有4bit,axi_awlen[3:0]
           if(axi_awvalid & axi_awready)//握手信号
             begin
               axi_awvalid <= 1'b0;//完成握手用户拉低axi_awvalid信号,为下次握手做好准备
               state<=8'd2;
             end
            else//等待写地址握手成功
              begin
               state<=8'd1;
              end
         end 

   8'd2:begin//先拉高axi_awvalid信号,然后 等待axi_awready信号
           axi_awuser_ap  <= 'b0;
           axi_awvalid <= 1;
           axi_awaddr<=28'h0000311;//握手成功就写入首地址,
           axi_awid<=4'h1;
           axi_awlen<=4'd12;//实际长度为 axi_awlen+1
           if(axi_awvalid & axi_awready)//握手信号
             begin
               axi_awvalid <= 1'b0;//0
               state<=8'd0;
             end
            else//等待写地址握手成功
              begin
               state<=8'd2;
              end
         end 




3、读ddr时,ddr的位宽在配置ip时设置为32,axi总线的宽度为256,256 /32=8,所以读ddr时每读一个数据地址就要偏移8,否则从ddr3中读出来的数据是不对的。

8'd6:begin//写读ddr地址
           axi_arvalid<=1'b1;
           axi_araddr<=axi_araddr + 'd8;//地址偏移8,否则读出来的数据是不对的
           axi_arid<= axi_arid + 4'h1;
           axi_arlen<=4'd4;//实际长度为 axi_awlen+1
           axi_aruser_ap <= 1'b0;  
           if(axi_arvalid & axi_arready)
             begin
               axi_arvalid<=1'b0;
               state<=8'd7;
             end
            else
              begin
               //axi_arvalid<=1'b0;
               state<=8'd6;
              end
         end

image-20231212113740887
紫光使用的axi4协议是简化版的协议,跟标准的不一样,一定要注意。不同的FPGA芯片型号ddr3的ip核也不一样,axi握手时序也不一样,需要仔细阅读文档。

---晓凡  20231217日于武汉书
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
FPGA(现场可编程门阵列)是一种灵活可编程的硬件平台,可以用于实现各种不同的电路功能。而AXI(Advanced eXtensible Interface)是一种高性能、低功耗的总线接口协议,用于连接FPGA与外部设备,如DDR3(双倍数据速率3代)内存。 要通过AXI读取DDR3内存数据,首先需要在FPGA上实例化AXI接口和DDR3控制器模块。AXI接口模块负责与外部设备通信,而DDR3控制器模块则负责管理DDR3内存存取操作。 在设计中,需要按照AXI协议规范进行接口的连接和配置。AXI协议定义了读写操作的时序和数据传输约束。通过连接AXI接口与DDR3控制器,FPGA可以通过AXI总线发送读取指令到DDR3内存,然后读取数据返回。 具体而言,通过AXI读取DDR3的操作步骤如下: 1. 配置AXI接口和DDR3控制器模块,并确保其正确连接。 2. 在FPGA中编写相应的代码,按照AXI协议要求构建读取指令。 3. 将读取指令通过AXI接口发送到DDR3控制器模块。 4. DDR3控制器模块接收到读取指令后,根据指令的地址信息,从DDR3内存中读取相应数据。 5. 读取的数据通过AXI接口返回给FPGA,供后续处理使用。 需要注意的是,AXI与DDR3之间的通信速度和性能受到FPGA资源、时钟频率、数据宽度等因素的影响。因此,在设计中需要根据实际情况进行综合考虑,以保证数据的准确读取和传输。同时,还需要确保AXI接口和DDR3控制器模块的接口匹配和正确配置,以确保数据的正确传输和存取。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值