Modelsim自动仿真平台的搭建

Modelsim自动仿真平台的搭建

如果要搭建自动仿真平台脚本那就需要更改下面3个文件。run_simulation.bat、complie.do和wave.do文件。注:前提是安装了modulsim并且配置好了环境变量,这里不过多介绍。

一、下面是run_simulation.bat文件的内容

: 注释的时候冒号后必须要有一个空格,否则认为是一个标签
@echo off
@cls
: 这个是控制台的标题:FPGA Auto Simulation batch script
title FPGA Auto Simulation batch script

: 关键词echo是一个显示命令,可以在控制台中显示ModelSim simulation
echo ModelSim simulation

: 这一行echo.表示在控制台中空一行
echo.

: 提示输入1就开始仿真
echo Press '1' to start tb_histogram_reg simulation
echo.

: 该标签是input,用于接收用户的输入
:input
set INPUT=
set /P INPUT=Type test number: %=%
: 控制台输入1时,就运行run1这个标签里面的代码
if "%INPUT%"=="1" goto run1
goto end

: 语句vsim -do "do compile.do"可以调用modulsim执行脚本.do。vsim关键词可以在系统变量中找到modulsim的路径并启动运行.do文件
:run1
@cls
echo Start tb_histogram_reg Simulation;
echo.
echo.
: 切换到这个目录下testbench/tb_histogram_reg
cd testbench/tb_histogram_reg
vsim -do "do compile.do"
goto clean_workspace

:clean_workspace

rmdir /S /Q work
del vsim.wlf
del transcript.

:end

image-20240429223524146

二、compile.do文件的语法

vlib work
vmap work work
vlog  -work work glbl.v

#library
#vlog  -work work ../../library/artix7/*.v

#IP
#vlog  -work work ../../../source_code/ROM_IP/rom_controller.v

#SourceCode  对源码进行编译
vlog  -work work ../design/m_histogram_reg.v

#Testbench vlog关键字编译测试激励文件
vlog  -work work testbench_top.v 

# vsim这个语句是是将testbench_top文件添加到work文件夹下面,然后进行仿真
vsim -voptargs=+acc -L unisims_ver -L unisim -L work -Lf unisims_ver work.glbl work.testbench_top

#Add signal into wave window  运行wava.do文件
do wave.do

#run -all

三、wave.do文件

只有一条语句,主要是添加想要观测的波形到modulsim中。将testbench_top这个文件中的所有信号,包括wire,reg类型或者其他类型的信号全部添加到波形中

add wave -position insertpoint sim:/testbench_top/*
--晓凡  2024429日于武汉书
  • 9
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值