序列检测器(moore、mealy、独热码三种写法)

序列检测器:检测110101
目的:检测一个序列中是不是有序列110101

Moore型状态机实现

Moore型状态机:输出只由当前状态决定,即次态=f(现状,输入),输出=f(现状);

状态转移图:
在这里插入图片描述

程序:

module head(
input clk,
input rst_n,
input in,
output out
);
parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6;
reg [2:0] state;
reg [2:0] next_state;
always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		state<=s0;
	else
		state<=next_state;
end
always@(*)
begin
	case(state)
	s0:next_state<=in?s1:s0;
	s1:next_state<=in?s2:s0;
	s2:next_state<=in?s2:s3;
	s3:next_state<=in?s4:s0;
	s4:next_state<=in?s2:s5;
	s5:next_state<=in?s6:s0;
	s6:next_state<=in?s2:s0;
	default:next_state<=s0;
	endcase
end
assign out=(state==s6)?1:0;
endmodule

测试程序

module tt;
	reg clk;
	reg rst_n;
	wire in;
	wire out;
	head uut (
		.clk(clk), 
		.rst_n(rst_n), 
		.in(in), 
		.out(out)
	);
	reg [23 : 0] data; 
	initial begin
		clk = 0;
		rst_n = 0;
		data='b1100_1010_1110_1010_1111_0101;
		#100;
      rst_n = 1;		
	end
	always #10 clk=~clk;  
	always@(negedge clk) #5 data
  • 3
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在状态机设计中,MooreMealy是两种不同的模型,它们用来描述状态机的行为方式。序列检测器(Sequence Detector)通常用于检测输入序列是否满足某种特定模式,这主要取决于它输出的状态响应以及它如何基于输入来更新这些状态。 **Moore状态机**(也称输出型状态机): - 特点:只依赖当前状态决定输出,不考虑输入。即,无论何时给定一个状态,都会产生固定的输出信号。 - 应用:常用于信号发生器或简单的定时器,因为其输出与时间无关,只与机器内部状态有关。 **Mealy状态机**(也称混合型状态机): - 特点:不仅依赖当前状态,还考虑输入来决定输出。输入会影响输出,而不仅仅是状态。 - 应用:在需要根据输入实时调整输出的场景中常见,如编码解码器、计数器等。 对于序列检测器,如果它的行为是基于输入序列来判断并产生相应的输出(比如当输入序列符合预期时输出“有效”,否则输出“无效”),那么它更可能是Mealy状态机,因为它会根据当前输入和状态来确定输出结果。然而,具体是哪种模型,还需看实际的设计细节。如果你有更详细的设计规范或者例子,我可以给出更准确的判断。相关问题可以是: 1. MooreMealy状态机的区别是什么? 2. 序列检测器通常用于哪种类型的状态机? 3. 何时会选择使用Mealy状态机而不是Moore状态机?
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值