Vivado下产生AXI-Lite Ipcore及AXI-Lite源代码解析

本文介绍了如何在Vivado中生成AXI-Lite接口IP核,并详细解析了AXI 4总线协议,包括AXI4、AXI4-Lite和AXI4-Stream的特点和通信机制。AXI4-Lite的猝发长度固定为1,数据宽度为32或64位,而AXI4-Stream数据总线宽度可变。文中还阐述了AXI总线的五个通道及其相关信号,以及AXI4-Stream的信号格式和写操作流程。
摘要由CSDN通过智能技术生成

一. AXI-Lite接口Ipcore的生成

Xilinx开发工具EDK和Vivado都可自动生成AXI-Lite、AXI-Stream主从模式接口。关于生成Ipcore的过程可参考下面这两篇文章,这里就不在赘述:

        http://comm.chinaaet.com/adi/blogdetail/37170.html

        http://www.cnblogs.com/surpassal/archive/2012/10/09/Zynq_Lab4.html

这里只说明一下有一行语句的理解:

for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
    if ( S_AXI_WSTRB[byte_index] == 1 ) begin
   // Respective byte enables are asserted as per write strobes 
   // Slave register 1
      slv_reg1[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
  end
这是Verilog2001新加的语法:Verilog-2001向量部分选择
在Verilog-1995中,可以选择向量的任一位输出,也可以选择向量的连续几位输出,不过此时连续几位的始末数值的index需要是常量。而在Verilog-2001中,可以用变量作为index,进行part select。 

   
      [base_expr +: width_expr] //positive offset
     

  • 5
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值