Zynq的FPGA设计中[DRC 23-20]错误的解决

在Zynq FPGA设计中遇到[DRC 23-20]错误,提示未指定I/O标准。解决方法包括分析错误,确保所有逻辑端口指定I/O标准,并在.tcl文件中设置命令。在xdc文件中检查并添加缺失的接口约束。
摘要由CSDN通过智能技术生成

上次做DDS时出现了[DRC 23-20],当时是这样处理的(并没有解决):在网上搜了一下,新建一个tcl文件,在文件中添加

set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
set_property SEVERITY {Warning} [get_drc_checks RTSTAT-1]
set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
这几行语句,并在Project Settings中的Bitstream选项中添加新建的.tcl文本(tcl.pre栏)

怎么解决这个问题呢? 分析错误提示:

[DRC 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 3 out of 3 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standa

[DRC PDCN-1569是一个错误代码,表示在时钟域之间存在不兼容的时钟资源。根据引用提到的信息,这个错误可能是由于IBUFDS_GTE2_inst在不同的时钟域驱动MMCME2_ADV实例导致的。要解决这个问题,可以尝试使用本地路由资源进行连接,但这可能会对时钟性能产生负面影响。可以通过将CLOCK_DEDICATED_ROUTE设置为FALSE来指示这是有意的。另外,引用提到的一些语句可能也是处理该问题的一部分,你可以尝试添加它们到Project Settings的Bitstream选项的.tcl文件。请注意,这些方法只是一些可能的解决方案,具体的处理方法可能需要进一步的调查和试验。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [ZynqFPGA设计[DRC 23-20]错误解决](https://blog.csdn.net/yang2011079080010/article/details/51759917)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [Vivado 错误代码 [DRC PDCN-2721] 解决](https://blog.csdn.net/qq_43045275/article/details/125428997)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值