Xilinx IOB输出寄存器约束笔记

 关于IOB寄存器的使用,网上已经有很多资料可以查找,这里就不多余说了。

例如https://blog.csdn.net/shanekong/article/details/44157555

本文主要是讲关于XILINX WARNING:Pack:2549 - The register "*" has the property IOB=TRUE, but was not packed into the OLOGIC component. The output signal for register symbol * requires general routing to fabric, but the register can only be routed to ILOGIC, IODELAY, and IOB的解决方案。其中*表示某一个需要IOB寄存器约束的寄存器。

首先这个warning为什么会有,上图来的实在

本来F_SRAM1_nBWa、F_SRAM1_nBWb、F_SRAM1_nCE都需要做IOB寄存器约束,但是会有上面的警告。也就是说这三个约束都没有成功执行。从图也可以看出,是由于三个信号输出逻辑相同,工具优化掉了两个寄存器。

解决这个问题很简单,只需要把源文件中的这三个寄存器让其保持(* KEEP="TRUE"*),不要被工具优化掉,这样就会解决这个warning,从而IOB寄存器约束也会成功实施。如下图

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值