建立txt文件保存仿真结果
$display 显示、探测、监视类系统任务 $display(“格式控制字符串”,输出变量名表项);
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
flag_get <= 0;
end
else if(dout==1) begin
flag_get <= 1;
end
end
initial begin
fp_w = $fopen("ex1_test_rpt.txt","a+");
$fdisplay(fp_w,"--------------------------------------------------------- ");
$fdisplay(fp_w,"---------------------begin test_pulse-------------------- ");
$fdisplay(fp_w,"--------------------------------------------------------- ");
#(100*CYCLE);
if(flag_get==0)begin
$fdisplay(fp_w,"Err at %0d ns ,hadn't get dout==1, flag_get=%0h ",$time,flag_get);
end
else begin
$fdisplay(fp_w,"Rig at %0d ns ,hadn't get dout==1, flag_get=%0h ",$time,flag_get);
end
$fdisplay(fp_w,"--------------------------------------------------------- ");
$fdisplay(fp_w,"---------------------end test_pulse-------------------- ");
$fdisplay(fp_w,"--------------------------------------------------------- ");
$fclose(fp_w);
end