关于modelsim仿真时出现红线(不定态hx)或者蓝线(高阻态hz)的问题

在用modelsim进行时序仿真时,出现高阻态信号(蓝线)或者不定态信号(红线)的问题,如下图。

出现这样的情况,有一种可能的原因就是没有对这些信号进行初始化,所以在modelsim中认为输入信号为高阻态(z),输出信号为不定态(x)。

解决办法:对于输入信号在测试文件(tb)中一定要赋值;输出信号将其复位为一个确定的初始状态,比如采用异步复位的方式(如下图),此时的复位信号rst_n在测试文件中要赋值。

 当所有信号都有确定的初始状态后,仿真得到如下图的时序结果。

在图中可以看到,在复位信号(rst_n)之前仍然有不确定的状态,但是在异步复位之后所有信号状态都是确定的了。

  • 21
    点赞
  • 90
    收藏
    觉得还不错? 一键收藏
  • 11
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值