在使用vivado2019进行功能级(后仿)仿真时所有波形会出现高阻和不定态解决方法

项目场景:在使用vivado2019进行功能级(后仿)仿真时所有波形会出现高阻和不定态解决方法

问题描述:

提示:有些新同学在使用vivado进行后方真时会出现所有波形均为高阻态,不定态的现象。
例如:做一个简单的纯组合电路的3_8译码器的仿真,会出现如图所示的波形状态。其中,输入均为蓝色,是高阻态,输出均为红色,是不定态
前仿真结果后仿真结果

解决办法:

返回查看source界面:
在在Simulation Sources/sim_1目录下:将decoder3_8_tb文件set as top,设置为顶层文件,在vivado中需要手动设置,在Quartusii中仅有一个待测试文件时会自动设置为顶层文件。
设置完顶层文件后再进行综合后可以看到行为级仿真下多了两个选项:功能仿真,和时序仿真。现在点击功能仿真查看波形:
在这里插入图片描述在这里插入图片描述就可以看到正常的功能仿真波形了。




评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值