Xilinx FPGA 配置之ICAP

在网络通信中ICAP通常指Internet Content Adaptation Protocol,但在Xilinx FPGA 中ICAP(Internal Configuration Access Port) 指的是内部配置访问端口,其主要作用是通过内部配置访问端口(ICAP),用户可以在FPGA逻辑代码中直接读写FPGA内部配置寄存器(类似SelectMAP),从而实现特定的配置功能,例如Multiboot。FPGA实现IPROG通常有两种方式,一种是通过ICAP配置,一种是把相关指令嵌入bit文件中。与通过bit文件实现IPROG相比,通过ICAP更灵活。

ICAP目前为止有三个版本,包括ICAPICAPE2以及ICAPE3。 UltraScale系列对应ICAPE3,7系列对应ICAPE2,7系列之前的对应ICAP。每个版本有少许区别。

以下以ICAPE3 为例,ICAPE3 的接口如下:

在这里插入图片描述

在这里插入图片描述在这里插入图片描述
每个UltraScale系列的FPAG包括2个ICAPE3,但实际使用时只能例化并使用一个,默认顶部ICAPE3, 初级玩家采用默认的即可。

通过ICAP发送IPROG指令实现Multiboot的步骤如下:
在这里插入图片描述
首先写入同步头 32’hAA995566, 然后将需要跳转到的bit文件的起始地址写入WBSTAR寄存器,最后写入IPROG(internal PROGRAM_B)指令。

这里需要注意一点,ICAP以及SelectMAP都存在位反转(Bit Swapping),也就是说,上表中所有的数据需要进行位反转之后才能接到ICAP的输入接口,同理,ICAP输出的值需要进行位反转后才能与实际的值对应起来,位反转的示例如下图。

在这里插入图片描述
Bit Swapping 可参考以下Verilog语句

  genvar i,j;
  for (i=0; i<4; i=i+1) begin: GEN_ICAP_BIT_SWAP_I
    for (j=0; j<8; j=j+1) begin: GEN_ICAP_BIT_SWAP_J
      assign icap_din[i*8+j] = fsm_output[i*8+7-j];
      assign fsm_input [i*8+j] = icap_dout[i*8+7-j];
    end
  end

ICAPE3 例化示例如下(UG974)

// ICAPE3: Internal Configuration Access Port
// UltraScale
// Xilinx HDL Language Template, version 2019.1
ICAPE3 #(
.DEVICE_ID(32'h03628093),//pre-programmed Device ID value,used for simulation
// purposes.
.ICAP_AUTO_SWITCH("DISABLE"),//Enable switch ICAP using sync word
.SIM_CFG_FILE_NAME("NONE")//Raw Bitstream (RBT) file,parsed by the simulation
// model
)
ICAPE3_inst (
.AVAIL(AVAIL), // 1-bit output: Availability status of ICAP
.O(O), // 32-bit output: Configuration data output bus
.PRDONE(PRDONE),//1-bit output: Indicates completion of Partial Reconfiguration
.PRERROR(PRERROR),//1-bit output: Indicates Error during Partial Reconfiguration
.CLK(CLK), // 1-bit input: Clock input
.CSIB(CSIB), // 1-bit input: Active-Low ICAP enable
.I(I), // 32-bit input: Configuration data input bus
.RDWRB(RDWRB) // 1-bit input: Read/Write Select input
);
// End of ICAPE3_inst instantiation

参考文档
UG974 UltraScale Architecture Libraries Guide
UG470 7Series_Config
UG570 ultrascale-configuration

  • 9
    点赞
  • 95
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Xilinx FPGA中的ICAP指的是内部配置访问端口(Internal Configuration Access Port)。根据引用\[2\],Xilinx ICAP有三个版本,分别是ICAP、ICAPE2和ICAPE3。其中,UltraScale系列对应ICAPE3,7系列对应ICAPE2,而7系列之前的产品对应ICAP。 ICAP原语可以实现在FPGA中存放多个固件程序,并且应用场景也比较广泛。比如,在固件升级方案中,可以将Bootloader存放在SPI Flash的前半部分,将Application程序存放在SPI Flash的后半部分。在上电后,先运行Bootloader程序,如果在一定时间内未收到升级命令,则自动跳转到Application程序运行。如果收到升级命令,则执行升级流程,对SPI Flash的后半部分内容进行更新。这样即使升级过程中断电导致升级失败,重新上电后仍能保证Bootloader程序的完整性。另外,ICAP还可以用于多重配置的应用场景。由于FPGA逻辑资源的限制,将所有功能放在一个工程中可能会导致资源不足。因此,可以将一个庞大的FPGA工程分成多个部分,分别进行开发,并通过ICAP进行在线切换。\[3\] 总结来说,Xilinx FPGA中的ICAP原语可以实现存放多个固件程序和在线切换功能,适用于固件升级和资源利用的场景。 #### 引用[.reference_title] - *1* *2* *3* [Xilinx FPGA ICAP原语实现多重配置](https://blog.csdn.net/whik1194/article/details/130471755)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值