verilog基础Module层次化

Module:

module mod_a ( input in1, input in2, output out );
    // Module body
endmodule

Connecting Signals to Module Ports

There are two commonly-used methods to connect a wire to a port: by position or by name.

By position

mod_a instance1 ( wa, wb, wc ); 

By name

mod_a instance2 ( .out(wc), .in1(wa), .in2(wb) ); 
module top_module ( input a, input b, output out );
    mod_a mod_a1(.out(out),.in1(a),.in2(b));
    //mod_a mod_a1(a,b,out);
endmodule

Module pos:

module mod_a ( output, output, input, input, input, input );

module top_module ( 
    input a, 
    input b, 
    input c,
    input d,
    output out1,
    output out2
);
    mod_a mod_a1(out1,out2,a,b,c,d);
endmodule

 Module name:

You are given the following module:(顺序不重要)

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值