Modelsim缺失库快速添加

Modelsim缺失库快速添加


前言

在单独使用modelsim时,假如要编译复杂的工程文件,可能会遇到特定器件库不存在而导致编译无法通过的问题,这篇文章主要介绍库的添加,网上很多教程针对modelsim库缺失的问题,都是一个一个库慢慢添加,很费时间,并且添加也不全面,这次介绍一个能快速添加多个库的办法(仅针对altera库)


一、.ini文件

配置库前,先了解一下ini文件,这个文件是库配置的关键。ini文件是modelsim配置文件,记事本打开后如下图所示
在这里插入图片描述

可以看见,在初始安装后的ini配置文件下,Library下面的信息仅寥寥几行,以及后面也没有对应器件库文件的路径配置信息,这些是需要我们自己去添加更改的

二、器件库配置

1、将器件库放在modelsim文件夹下

将器件库放在modelsim文件夹下,这里新建一个altera库文件夹
在这里插入图片描述
altera库下新建verilog和vhdl文件夹来装载对应库(这里看自己使用情况,笔者verilog和vhdl都有使用就就分别创了两个文件夹以区分)
在这里插入图片描述
将对应器件库装在verilog/vhdl文件夹下,如下图所示
在这里插入图片描述

2、ini配置文件修改

在[Library]下加入以下信息
std_developerskit = $MODEL_TECH/…/std_developerskit
synopsys = $MODEL_TECH/…/synopsys
modelsim_lib = $MODEL_TECH/…/modelsim_lib
sv_std = $MODEL_TECH/…/sv_std

在这里插入图片描述

然后进行器件库路径配置
这里分了两个器件库,一个VHDL库一个Verilog库
配置格式如下:
库名称=库路径/库名称
例如altera_mf库路径(绝对路径看具体文件存放位置):
altera_mf = $MODEL_TECH/…/altera/vhdl/altera_mf
altera = $MODEL_TECH/…/altera/vhdl/altera
根据自己所有的器件库中器件来进行具体配置
笔者路径配置完后如下:
在这里插入图片描述
在这里插入图片描述

完成库文件夹及ini文件配置后,再次打开modelsim界面就会出现如下界面,会发现很多器件库已经自动配置好,无需一个一个手动添加,且每次编译工程时会自动调用这些器件库
在这里插入图片描述
至此,modelsim库配置完成

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

俩个圆

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值