Vcs的DPI-PLI回调函数

1. 准备条件

实现sv和c语言的联动,需要事先准备好:

1.1 c语言代码文件

以test.c为例:

1.2 sv语言文件

以top.sv为例:

1.3 vcs编译和仿真

实现sv和c的编译方式有多种,下面将逐一介绍:

1.3.1 同时编译sv和c

这种方式的特点是:无论sv或者c或者v文件有了修改,所有文件都必须重新编译一遍,在大型项目中,无疑增加了编译负担。假如验证人员只修改了sv文件,不想重新编译c文件,该如何操作?具体见第二种方式。

1.3.2 只在vcs编译阶段载入DPI动态库

如果c文件没有修改,那么就可以在第一次编译c文件后生成动态链接库,后续只修改sv文件后就可以跳过c文件的编译过程,直接在仿真阶段载入DPI动态链接库即可。即:

第一步:生成DPI动态链接库.so文件

第二步:在vcs编译阶段调用DPI动态库

2. 在sv中调用c函数

2.1 C程序里通过extern关键字导出要调用的函数

举例:

2.2 sv程序里通过import关键字导入要调用的函数

举例:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值