#VCS# VCS动态加载DPI shared lib (.so)方法

VCS动态加载DPI shared lib,在vcs compile之后,调用GCC执行C的编译,产生so文件。

在vcs run的时候,手动加载so。包括以下2种种命令都行:

  1) -sv_liblist,指定一个so的list文件bootstrap file,其中的so不需要加后缀名。

  2) -sv_lib,加lib名,不需要加后缀。

注意:-sv_root是指定DPI shared lib的根目录,可以识别环境变量$ENV,就很有用了。

例子:

以上先设置:

-sv_root /home/user

-sv_liblist Bootstrap.file

或者:

-sv_root /home/user

-sv_lib myclibs/lib1

-sv_lib myclibs/lib3

-sv_lib proj1/clibs/lib4

...

就可以调用

/home/user/myclibs/lib1.so

/home/user/myclibs/lib3.so

/home/user/proj1/clibs/lib4.so

sv_liblist如下:

所以这些 .so的全路径为:

$SIM/model/xxxxxxx/so/jdi.so
 

 

  • 1
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值