PS通过AXI-lite读取PL端数据

1,创建AXI-lite总线的IP,并加上自己的逻辑,

    注意:一定要编译(保证ip无逻辑和功能错误);同一个reg不能在多个always中驱动;所以如果是PS读PL的reg,那么总线就不能写该reg,如果一定要写,写到其他reg;若果是PS写PL的reg,那么按道理(没试),PL端不可以写该reg。反正就是同一个reg,PS和PL端不能同时写!!!

2,新建工程,BD工程;加载刚生成的ip(首先要加载好路径);再加载好zynqsystem-IP,zynq system的设置:

              bank0:3v3            bank1:1v8            DDR:            clk_PS:            PLL(PS给PL的时钟):

自动连线,generate output product;create HDL wrapper;产生bit;export(选中bit);lunch SDK;

3,在SDK中找到外设的地址,直接操作。


注:1、若果要用PS端的串口(或者其他MIO接口),要在zynq——system——ip(BD工程)中勾选相应的引脚。

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值