Quartus-II 半加器的设计

下面的设计均采用Quartus-II 16.0软件,因为版本大于9.0,所以仿真要使用外部软件。我使用的是modelsim来做的仿真,包括时序仿真和功能仿真。

一、实验原理

半加器的设计由两个基本逻辑门元件组成,包括与门和逻辑门。

半加器的逻辑表述为:SO = A ⊕B; CO = A ● B;

就是SO等于A异或B,CO等于A与B。其中SO是和值端口,CO是进位端口,A、B是数据输入端口。

二、建立工程

在设计原理图输入法之前,先讲讲如何新建一个工程。

第一步:打开Quartus软件。

第二步:点击New Project Wizard -> next.

第三步:选择工程文件的存放位置,输入工程名 -> next -> next。


第四步:在family栏选择芯片型号-Cyclone IV E,在Name栏选择EP4CE115F29C7,选择完之后点击next。

  • 22
    点赞
  • 109
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值