Verilog之文件操作

文件打开关闭

integer file_descriptor = $("file_name", type);
$fclose(file_desriptor);

type 类型
“r” or “rb” - open for reading(读)
“w” or “wb” - truncate to zero length or create for writing (覆盖写)
“a” or “ab” - append, open for writing at the end of file, or create for writing(续写)
以上“b”用来区分二进制文件和其它文本文件,在Linux平台上,无需区分,windows需要区分。

文件输出

file_output_task_name(file_descriptor, list_of_arguments);
file_output_task_name includes
$fdisplay | $fdisplayb | $fdisplayh | $fdisplayo
| $fwrite | $fwriteb | $fwriteh | $fwriteo
| $fstrobe | $fstrobeb | $fstrobeh | $fstrobeo
| $fmonitor | $fmonitorb | $fmonitorh | $fmonitoro

list_arguments can be a quoted string, an expression that returns a value, or a null argument

$fdisplay 与 $fwrite 函数的区别在于 $display 函数会自动换行, $fwrite不会。

文件读入

integer code = $fscanf (file_descriptor, format, args);
integer code = $fread( myreg, fd);
integer code = $fread( mem, fd);
integer code = $fread( mem, fd, start);
integer code = $fread( mem, fd, start, count);
integer code = $fread( mem, fd, , count);

$fscanf是将文件按照某个模板格式进行扫描,并将扫描结果存放到args中。第一个参数是扫描对象,第二个参数是扫描格式,第三个参数是存放结果的变量。
扫描格式有
%b - matches a binary number
%o - matches a octal number
%d - matches a decimal number
%h -matches a hexadecimal number
%s - matches a string, which is a sequence of non white space characters

load memory
$readmemb ("file_name", memory_name, start_addr, end_addr);
eg. 
initial $readmemh("mem.data", mem);
initial $readmemh("mem.data", mem, 16);
initial $readmemh("mem.data", mem, 128, 1);

格式化

$sformat (str, format, args)
integer code = $sformatf(format, args)

$sformat则是将参数args按format格式赋值给str。
$sformatf 和 $sformat 的不同在于 $sformat 没有返回值,而 $sformatf有返回值,返回值就相当于 $sformat的str参数。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值