verilog对文件的操作

1、读文件到memory的操作

假设仿真的时候,某个变量需要输入一堆数据,能想到的具体应用就是做协议解析的时候。以往的做法是把数据提前存入ROM中,从ROM 中读取数据,但是这样操作得添加控制ROM的代码,还浪费了一些资源,不太适合仿真。看到有读文件的操作,就尝试一下。

//=============  顶层设计模块===================//
module top(
    input             I_clk  ,
    input             I_rst  ,
    input      [07:0] I_data ,
    output reg [07:0] O_data 
    );
    
    always @ (posedge I_clk or posedge I_rst)
    begin
    	if (I_rst)
    		begin
    			O_data <= 0;
    		end
    	else
    		begin
    			O_data <= I_data;
    		end
    end
endmodule

//=============  仿真测试模块===================//
module tb_top(

    );
    
    reg        I_clk   ;
    reg   
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值