[Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I2 ...

在配置 ddr,也就是 mig ip 时编译出现的,原因是实例化的模块的未使用的 input 没有东西。将未使用的 input 固定写一个值,比如“.app_zq_req (1'b0),”

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值