VCS-Verdi仿真

VCS和Verdi仿真:


makefile用法:

make_vcs、verdi、clean(编译、仿真、查看波形、清除)

-Mupdate 增量编译,只重新编译变化的文件。

-R 编译完后自动仿真。-l 设置log文件。 +v2k 支持verilog2001.

-f 将RTL路径整合到filelist.f文件中。 -o foo,改变simv名字为foo。

+define+<宏名称>=<值>。

+incdir+inc路径 在当前路径下找文件


波形分析:

1:vcs和verdi联合仿真条件

①生成的“.fsdb”文件才能用于

  • 1
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值