VCS-Verdi仿真

本文介绍了VCS和Verdi仿真的使用,包括makefile的编译、仿真和清理操作,如增量编译和设置log文件。同时,讲解了波形分析的关键步骤,如生成.fsdb文件,并在Testbench中的实现。还分享了Verdi仿真中的快捷键,如抓取和调整波形,以及debug经验,如系统函数的应用和覆盖率的检查。
摘要由CSDN通过智能技术生成

VCS和Verdi仿真:


makefile用法:

make_vcs、verdi、clean(编译、仿真、查看波形、清除)

-Mupdate 增量编译,只重新编译变化的文件。

-R 编译完后自动仿真。-l 设置log文件。 +v2k 支持verilog2001.

-f 将RTL路径整合到filelist.f文件中。 -o foo,改变simv名字为foo。

+define+<宏名称>=<值>。

+incdir+inc路径 在当前路径下找文件


波形分析:

1:vcs和verdi联合仿真条件

①生成的“.fsdb”文件才能用于Verdi波形分析。

②要生成“.fsdb”文件,需要在Testbench中加入图2所示的几行用于产生

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值