quartus+modesim仿真验证基本流程(使用自带仿真波形编辑器)


本文演示如何在quartus中启用modelsim进行功能仿真,同时重要一点是利用quartus中自带的仿真波形编辑工具,给输入信号通过图形界面生成想要的波形,之后调用modelsim进行仿真,将仿真结果直接显示在仿真波形编辑界面。

对于初学者的好处在于不用写verilog格式的test bench,可以直观的编辑要输入的信号。

环境搭建

本文中采用的quartus和modelsim版本如下:

  • quartus:13.1
  • modelsim: SE-64 10.5

一、quartus设置

依次在tools->options->EDA Tool Options中,将modelsim安装目录填写进去。
仿真工具设置

二、quartus中新建工程

  1. 建工程

在File->New中选择New Quartus II project,之后按照向导操作,不再详述,不清楚过程可在网上搜索相关教程。
新建工程

  1. 新建verilog设计文件

在File->New中选择verilog HDL File,之后输入如下源码。


                
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值