hdlbits系列verilog解答(Exams/m2014 q4j)-68


一、问题描述

实现以下加法器电路。FA表示全加器。
全加器

模块声明
module top_module (
input [3:0] x,
input [3:0] y,
output [4:0] sum);

思路:
利用前面写过全加器,然后实例化,最后级联。注意没有输入进位,SUM和的最高位是加数两个最高位相加的进位。


二、verilog源码


module top_module( 
    input [2:0] a, b,
    input cin,
    output [2
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值