verilog学习记(时序电路)


【 声明:版权所有,欢迎转载,请勿用于商业用途。  联系信箱:feixiaoxing @163.com】


    其实,在我看来不管学什么语言,必须去实践。其实,经过自己不断的打磨和练习,才能真正从错误中得到知识和经验。·前面一篇博文说到了逻辑电路和时序电路,其实我们今天可以写一个简单的时序电路,循环计数就可以了。

module count(clk, reset, data);
  
  input clk;
  input reset;
  output data;
  
  reg [3:0] data = 4'b0;
  
  always @ (posedge clk or negedge reset)
  if(reset == 0)
      data <= 4'b0;
  else
      data <= data + 1'b1;
  
endmodule
    上面的代码比较简单,就是对data计数。下面可以对这个module写一份testbench代码,
module count_t;
  
  reg clk;
  reg reset;
  wire [3:0] data;
  
  count t(clk, reset, data);
  
  initial
      clk = 0;
  always  
      forever  #5 clk = ~clk;
      
  initial
  begin
      #20 reset = 1;
      #200 $finish;
  end   
      
  initial
    $monitor("data = %d\n", data);
  
endmodule
    从测试代码上看,内容也非常简单。主要有三个信号,clk、reset和data信号。clk信号5ns翻转一次,reset信号20ns之后设置为高电平,而data信号呢就开始循环计数。等到数据到达15后,恢复为0。有兴趣,大家可以看看dataflow和wave是什么处理的。



  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

嵌入式-老费

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值