Verilog 编程实验(4)-7位译码器的设计与实现

数码管7位译码器的真值表:

Implementation part:

module Seven_Decoder_B(data_in,data_out,EN);

input [3:0] data_in ;

input EN ;

output [6:0] data_out ;
reg [6:0] data_out ;


always @(data_in or EN )
begin
data_out = 7'b1111111;
if (EN == 1)
case (data_in )
4'b0000: data_out = 7'b1000000; // 0
4'b0001: data_out = 7'b1111001; // 1
4'b0010: data_out = 7'b0100100; // 2
4'b0011: data_out = 7'b0110000; // 3
4'b0100: data_out = 7'b0011001; // 4
4'b0101: data_out = 7'b0010010; // 5
4'b0110: data_out = 7'b0000011; // 6
4'b0111: data_out = 7'b1111000; // 7
4'b1000: data_out = 7'b0000000; // 8
4'b1001: data_out = 7'b0011000; // 9
4'b1010: data_out = 7'b0001000; // A
4'b1011: data_out = 7'b0000011; // b
4'b1100: data_out = 7'b0100111; // c
4'b1101: data_out = 7'b0100001; // d
4'b1110: data_out = 7'b0000110; // E
4'b1111: data_out = 7'b0001110; // F
default: data_out = 7'b1111111;
endcase
end
endmodule

Simulation part:

module Seven_Decoder_B_Test2;

    // Inputs
    reg [3:0] data_in;
    reg EN;

    // Outputs
    wire [6:0] data_out;

    // Instantiate the Unit Under Test (UUT)
    Seven_Decoder_B uut (
        .data_in(data_in), 
        .data_out(data_out), 
        .EN(EN)
    );

    initial begin
        // Initialize Inputs
        data_in = 0;
        EN = 1;

        // Wait 100 ns for global reset to finish
        #100;

        // Add stimulus here

        data_in= 4'b0000;
        #100;

        data_in = 4'b0001;
        #100;

        data_in = 4'b0010;
        #100;

        data_in = 4'b0011;
        #100;

        data_in = 4'b0100;
        #100;

        data_in = 4'b0101;
        #100;

        data_in = 4'b0110;
        #100;

        data_in = 4'b0111;
        #100

        data_in = 4'b1000;
        #100;

        data_in = 4'b1001;
        #100;

        data_in = 4'b1010;
        #100;

        data_in = 4'b1011;
        #100;

        data_in = 4'b1100;
        #100;

        data_in = 4'b1101;
        #100;

        data_in = 4'b1110;
        #100;

        data_in = 4'b1111;
        #100;
        end

endmodule

RTL Schematic:

  • 9
    点赞
  • 54
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
项目一:简单计算器 1。实验题目:用51单片机实现简单的计算器功能 2。实验截图: 1).实验运行前截图: 2).实验运行后截图: 3.实验代码: #include<reg52。h〉 #include〈intrins.h〉 #include〈math.h> #include<defined。H> #include〈LCD1602.h> unsigned char table1[16]; //1602第一行显示字符 unsigned char table2[16]; //1602第二行显示字符 unsigned char code table_error[] = "error"; /* 键扫描函数 */ unsigned char keyscan() //扫描键盘函数 { unsigned char key_l,key_h,addres,num; P0=0x0f; key_l=P0; P0=0xf0; key_h=P0; addres=key_l " key_h; if(addres!=0xff) { Delayms(1); if(addres!=0xff) { P0=0x0f; key_l=P0; P0=0xf0; key_h=P0; addres=key_l | key_h; switch(addres) { case 0xee:num='1';break; case 0xde:num='2';break; case 0xbe:num='3';break; case 0xed:num='4';break; case 0xdd:num='5';break; case 0xbd:num='6';break; case 0xeb:num='7';break; case 0xdb:num='8';break; case 0xbb:num='9';break; case 0xd7:num='0';break;//按键0 case 0xe7:num='C';break;//按键* case 0xb7:num='=';break;//按键# case 0x7e:num='/';break;//按键A case 0x7d:num='*';break;//按键B case 0x7b:num='—';break;//按键C case 0x77:num='+';break;//按键D } while(addres!=0xff) { P0=0x0f; key_l=P0; P0=0xf0; key_h=P0; addres=key_l " key_h; } return num; } } return 0; } void clear_lcd(void) //清屏 { unsigned char j; for(j=0;j〈16;j++) { table1[j]='\0'; table2[j]='\0'; } } void main() { unsigned char i=0,j; unsigned char key; unsigned char flag_operator = 0; //加减乘除标志 unsigned char flag_equ = 0; //等于标志 unsigned char flag_key = 0; //运算标志 bit flag_MaxValue = 0; bit flag_minus = 0;//负数 long int value = 0; //最终运算结果 unsigned long int value_H =0; //第一个数据 unsigned long int value_L = 0; //第二个数据 unsigned long int temp[]={1, 10, 100,1000,10000,100000,1000000,10000000,100000000}; Initialize_LCD(); while(1) { key=keyscan(); if(key != 0) { if(key == 'C') //清除键C按下 { clear_lcd(); i=0; flag_operator = 0; flag_equ = 0; value = 0; value_H =0; value_L = 0; flag_key = 0; flag_minus=0; } else { for(j=0; j<i; j++) { table1[15—i+j] = table1[15—i+j+1]; } table1[15] = key; //table1[i] = key; i++; } if(key == '=') { for(j=0; j〈16; j++) //确定运算符置 { if(table1[j] == '='

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值