自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

学而不思则罔,思而不学则殆

个人笔记:not for everyone

  • 博客(263)
  • 资源 (2)
  • 收藏
  • 关注

原创 sata基本了解

定义种类定义SATA(Serial Advanced Technology Attachment,串行高级技术附件)是一种基于行业标准的串行硬件驱动器接口,是由Intel、IBM、Dell、APT、Maxtor和Seagate公司共同提出的硬盘接口规范。种类注意: 一般高速接口,有的会提供接口传输速率,有的会提供数据传输速率。 这两个概念的关系,一般是:接口传输速率(bps,就是bit per

2017-04-24 21:47:59 2174

原创 ncverilog和irun的区别

irun就是cadence verilog/vhdl最新的仿真命令。最老的是ncvlog/ncvhdl、ncelab、ncsim三步式;ncverilog和irun类似,都可以理解是脚本命令;真实仿真,还是依赖三步式的命令。 虽然原理,依然是三步式;但单命令方式,使用起来更简单。 irun,可以认为就是三步式命令。所以支持的功能feature,都是完全一致的。这一行的话,是我自己理解的。。

2017-04-24 19:21:44 21449

原创 综合里dont_use/dont_touch/ideal_network的理解

先简单记录一下自己的理解,下一步看看官方文档的描述。dont_usedont_touchideal_networkdont_use部分单元库的单元,由于工艺、性能功耗面积等原因,工艺厂商建议或者后端建议,不要使用的单元。在综合步骤设置dont_use属性,就可以避免综合使用这些单元。dont_touch对目标模块,设置dont_touch属性。会使得该目标模块,不进行任何优化。 常见, 比如

2017-04-20 16:18:08 22554 4

原创 标准单元库的corner简述

常见的corner介绍温度反转效应常见的corner介绍针对40nm,一般有5种corner。 corner名称 简称 全称 描述 T (tt)【正常电压,正常温度】 TT tt1p1v25c 最常见的应用场景 W(wc)【低电压,高温度】 W125 ss0p99v125c setup时序最差 B(bc)(lt)【高电压,低温度】 B40

2017-04-19 20:10:26 20073 1

原创 uvm之寄存器模型

寄存器模型的意义寄存器模型的搭建寄存器模型的FAQ寄存器模型的意义寄存器模型,字面理解,跟参考模型类似。就是为工程里的寄存器提供一个参考模型。 这个模型里,包括各个寄存器字段描述、寄存器、寄存器组、寄存器地址映射等信息。有如下好处: 寄存器模型建立的目的,初始目的,是为了验证寄存器配置过程的。 uvm里提供内置sequence,可以实现后门访问的路径检查、寄存器初始值的检查、寄存器读写属性

2017-04-19 14:58:39 10214 1

原创 linux firefox提示“firefox is already running”的解决方法

背景解决方法背景linux下,多用户通过vnc访问指定IP,比如192.168.2.94。 不同用户执行firefox,firefox进程存在且仅能存在一个。记录一下,以备不时之需。解决方法firefox每次启动,会关联一个profile。 默认在~/.mozilla/firefox/xxxx.default目录里。 linux firefox提示“firefox is already ru

2017-04-13 16:16:02 9180

原创 uvm之virtual sequence机制

背景实现步骤细节分析背景《uvm实战》书上介绍的uvm验证平台,往往只有一个in_agent(即包括driver、in_monitor和sequencer)。然而,一个agent代表一种协议。 由sequence机制的简单理解,可以知道,1个sequencer负责多个sequence的顺序调度。如果出现多个driver或者多个sequencer,必然出现顺序执行的调度问题。举个例子,一个IP,

2017-04-13 15:52:51 11069

原创 uvm的config_db

[TOC] config_db,是用于在各个uvm对象里传递参数,一般参数类型,是interface、int等;据说参数必须是静态类型(这个可以在uvm config_db相关代码里确认)。 dut里的信号线状态,是无法通过config_db传递的。dut里的信号线状态,如果想在driver里查询的话,一般是直接使用dut的层次路径即可。举例,比如rapidio,需要等待phy_port_ok信

2017-04-05 20:16:08 2670

原创 uvm的随机化

uvm的随机化实现uvm的随机化实现在my_case0类里。 1. 利用宏'uvm_do实现随机化;在my_case0的task body代码段里。 2. 伪随机数,种子默认是1;每一个对象,其伪随机数的算法是独立的;但种子是1. 3. 如果想设置不同的种子,参考网上: 如何在SystemVerilog中手动设置随机化seed - jyaray - 博客园 http://www.cnblo

2017-04-05 20:04:32 6553

原创 jtag访问arm内核寄存器

jtag的原理图jtag接口访问arm Device ID code register的步骤jtag接口访问arm Device ID code register的功能验证的testbenchjtag接口访问arm Device ID code register的功能验证的波形图jtag相关注意细节jtag访问arm内核寄存器的步骤与DTR相关的协处理器指令介绍最后通过封装成veril

2017-03-25 21:35:56 8359

原创 UVM的构造函数new的个人理解

构造函数new自己总结的规律理解结合UVM实战这本书的阅读理解。 归纳一下自己对new函数的个人理解;只是书本前几章阅读后的认知,还不能完善,在此只为记录防丢失。构造函数new一般类的实例化,包含类的声明和类的构造。 比如,my_driver drv;drv=new();drv可以看做是一个句柄,一个指针,一个内存入口地址。这个指向的内存入口地址,存放着构造实现类的对象的初始化信息,包括变

2017-03-24 11:15:01 14745

原创 sequence_item、sequence、sequencer、driver的关系

框图简单描述driversequencersequencesequence_item细节理解最初的验证平台只需要driver即可为什么还需要sequence机制sequence机制的内部协议sequence还有很多细节需要补充试写,纯属看书个人理解;无任何实践经验。框图sequence_item,负责定义事务,就是transaction;sequence,负责产生事务。注意se

2017-03-23 18:01:45 6741 1

原创 上拉电阻、下拉电阻的理解

上拉电阻下拉电阻参考文档芯片的IO PAD单元,一般都有上拉电阻、下拉电阻的选项。 自己的理解如下所述。上拉电阻 上图,是截取网络的图片,方便说明。IO PAD的上拉电阻原理,与之类似。 上拉下拉是针对输出引脚来说的,上拉的作用: 1. 上拉,可以提高电平从低到高跳变的驱动能力;其实就是利用电阻并联,减小了阻值,使得增大了驱动电流而已。再解释一下,如果驱动能力弱,有可能导致负载信号爬不到

2017-03-22 21:35:44 12906

原创 ieee-explore/springer文献免费下载办法

http://ieeexplore.ieee.org/document/xxxxxxx/ 改为 http://ieeexplore.ieee.org.sci-hub.io/document/xxxxxxx/即可免费下载。是哈萨克斯坦女黑客搞的,见下文描述: SCI-HUB将面临诉讼,支持SCI-HUB | 科研动力 https://www.howsci.com/sci-hub-copyrig

2017-03-11 11:50:06 34461 11

原创 百度文库等类似工具的免费下载工具

百度文库如何免费下载文献软件介绍百度文库如何免费下载文献冰点文库下载器V3.1.9软件介绍无需积分就可以自由下载百度、豆丁、丁香、MBALib、道客巴巴、Book118等文库文档,无需注册和登录。下载的文档最终生成高清晰度的pdf格式文档。 1. 支持百度、豆丁、丁香、畅享、MBALib、道客巴巴、Book118等文库文档。 2. 无需积分也无需登录就可以自由下载百度文库和豆丁文库。 3.

2017-03-11 11:34:40 4313

原创 降低指定进程的CPU占用率(适合游戏多开)

应用场景举例推荐BES软件应用场景举例游戏多开,比如《天书世界》网页游戏,单开占用CPU30%左右;最小化能够降低到10%以下。 如果多开,那么CPU就是叠加累计,非常占用CPU资源,而且挂机严重影响CPU温度。 1. 采用最小化窗口的方式,能够降低约30%的CPU占用率。至于原理,个人理解,最小化,可以减少CPU对程序的运算(特别是图形算法之类的运算),只需要保持与服务端的网络通信即可。

2017-03-11 11:00:40 24110

转载 IC画时序波形的工具,timegen

timegen 如何使用_百度经验 http://jingyan.baidu.com/article/d2b1d10275c3d15c7e37d49b.html

2017-03-08 19:45:56 5038

原创 linux下查找进程所在的执行目录

背景方法背景linux下,运行多个相同名称的程序。利用ps命令是没有办法确认进程所在的执行目录的;这时候用想杀掉其中一个进程,是难以办到的。方法ps aux | grep “xxx”;查找当前用户所有xxx程序运行的进程号。假设想查看进程号ID是123的执行目录;那么可以利用ls -l /proc/123/cwd;/proc/123/cwd是一个链接符号。指向进程号ID是123的执行目录。

2017-03-06 23:31:43 1424

原创 IC项目,门级代码的工艺库移植

应用背景笨方法最佳方法关于RAM单元的替换思路应用背景IC项目,要求工艺从90nm移植到45nm环境。但是有一些模块,只有门级代码描述,而非RTL代码描述。笨方法针对门级代码,替换单元名称,替换单元的端口信号。最佳方法以DC综合为例,利用综合工具,实现门级代码的工艺库移植。 1. DC综合,吃库文件的时候,有link_library和target_library,分别对应源代码转换为DC综合

2017-03-06 23:01:42 1310

原创 /dev/null 1 & 2的用法

应用背景devnull 1 2的介绍应用1应用2应用背景如下例所示,aa是不识别的命令。 在执行./test.sh时,输出两行,第一行表示错误输出;第二行表示标准输出。 如果把脚本test.sh执行的所有信息,写入脚本日志test.log,那么就出现了人为偏差,脚本日志不包含错误输出的内容;这样不方便脚本执行的debug日志需求。[qilei@localhost ~]$ cat ./te

2017-03-06 22:13:54 1563

原创 perl编写之前的一些习惯细节

变量环境变量的传递文件文件目录文件名路径的解析操作命令行参数调用shell命令变量的debug主体结构的划分脚本执行的关键信息保存在日志里下述信息,仅供自己编写新脚本之前的回顾内容。 虽然perl有很多实用的模块,可以利用;但是不使用模块编写代码,更容易理解。变量无非就是标量、数组、散列。 散列是以字符串作为索引的。环境变量的传递环境变量,在perl里就是散列类型。 %ENV

2017-03-05 19:10:18 732

转载 perl使用Getopt::Long模块,处理命令行参数

perl脚本的命令行参数处理办法代码解释脚本使用参考文档perl脚本的命令行参数处理办法perl自带Getopt::Long模块,就是一个函数库。 还有一个Getopt::Std模块,不过有了Getopt::Long,就Getopt::Std的用法就显得太简单了。下面的代码中,Smart::Comments是另外一个模块,以注释的方式调试和跟踪代码。但是,Smart::Comments不是p

2017-03-01 22:16:15 2692

原创 RC、DC、PrimeTime等综合时序工具保存session的办法

rc保存sessionpt保存sessiondc保存sessionrc保存sessionwrite_design -base xxx_sessionsource ./xxx_session.rc_setup.tclpt保存sessionsave_session pt_sessionrestore_session pt_sessiondc保存sessionwrite -hierarchy -f

2017-02-20 21:34:29 5554

转载 大道争锋--象河故事

上古蛮荒时期,镐山脚下有一群村民,由于水源稀少,村里人全赖一条灵溪生存,只是这条灵溪每日时断时续,村民苦不堪言。因为常见十头大象在溪中嬉戏,所以认为是象的过,屡次驱赶不果后,就将这十只象捕杀了事,于是溪水通畅。 可是村民不其实上游还有一条巨蛇修炼,每次巨蛇下来喝水,大象都是上前将其驱赶,群象一死,巨蛇便无人可制,修炼成精后便下山每日食人,村民因此尽散。这篇故事本意是告诫修道人,修道途中莫要被

2017-02-19 00:01:03 2212

原创 小米MAX线刷教程

小米MAX升级到7.2.9后,触控竟然无法工作。也许因为屏幕换过非原版的缘故吧。 (⊙﹏⊙)b只能回退到老版本了。实践证明,解决了触控不识别的问题。回退到miui_MIMAX_6.12.22_1affb89506_6.0时,提示安装包里缺少flash_all.bat文件。这里不清楚,为什么历史版本,会没有这个文件。于是,退其次,选择了稳定版的hydrogen_images_V8.1.5.0.

2017-02-11 20:51:44 3284

原创 uvm_object的理解

typedef class函数new变量use_uvm_seeding成员变量和局部变量的区别set_name get_name get_full_name上述函数有一些是virtual function还有一些是静态函数上述函数有一些是与uvm_object_wraper有关属于factory的范畴暂时搞不懂后面再说还有很多函数packprintcopyclonerecord之类的先

2017-02-08 19:07:50 4429

原创 uvm_void的理解

1. uvm_void是uvm所有class的基类。 2. 没有任何成员变量(也叫属性)和方法(方法,包括任务和函数,即task和function)。 3. 类型是virtual class。扩展一下,Virtual class (抽象类),可以被扩展但是不能被直接例化。抽象类:可以看作是模板或原型。好像大多数uvm类,都是虚类,都是模板。真正自己定义的类,才不是虚类。 4. 没有任何功能和数

2017-02-08 17:02:07 2505

转载 Perl调用shell命令方法小结(system/反引号/exec)

一、system perl也可以用system调用shell的命令,它和awk的system一样,返回值也是它调用的命令的退出状态.[root@AX3sp2 ~]# cat aa.pl#! /usr/bin/perl -w$file = "wt.pl";system("ls -l wt.pl");$result = system "ls -l $file";print "$result

2017-02-07 22:55:04 14905

转载 时序分析之BCWC、OCV、AOCV模式

参考文档: AOCV技术在45nm中的应用 : 新思科技 http://www.360doc.com/content/11/1024/02/6714119_158584261.shtml文章讲得很好,有时间再写阅读笔记。

2017-02-04 21:21:44 8596

原创 网页游戏《天书世界》屌丝修仙记

天书世界修仙无限小号刷打宝塔得到的金钱数据对比《天书世界》修仙看了一些玄幻修仙小说,主人公屌丝逆袭白富美的攻略只有一个,就是不同常人的隐藏技能。 作为工程师,羡慕没鸟用,还是实践一下,看看是否有潜质。 于是搞了一个网页游戏,耍耍;《天书世界》游戏一般,但是该有的内容基本都有,想成为人上人,作为屌丝不花钱的话,还是相当有难度。 难度1. 金钱需求极大; 难度2. 元宝需求极大;不花钱的情况

2017-02-03 17:47:57 762

原创 linux 计划任务crontab,定时打开一个图形界面

crontab经常遇到的问题是针对上述问题总结如下设置crontab的步骤simvision是cadence ncverilog仿真工具的一个波形查看命令,是一个图形界面。 因为simvision的环境变量和license设置比较复杂,以simvision为例,更好得解释了crontab的执行过程。crontab经常遇到的问题是:环境变量设置不正确。解决办法,设置相关环境变量或者运行程序的完

2017-02-01 14:35:40 4327

原创 INCISIV14.10.001下载与安装,简单教程

INCISIV1410001 下载INCISIV1410001 安装license破解csh下的环境变量设置安装后的效果图参考INCISIV14.10.001是cadence的ncverilog仿真工具。 网上很多资源不靠谱,下载完成后文件损坏;也有很多老版本,不适合UVM环境的仿真。 终于找到一个靠谱的资源,并在RHEL7.2操作系统里安装成功(可进行UVM环境的仿真),特此记录。注

2017-01-31 16:48:42 11784 14

原创 `uvm_component_utils

功能意义用法功能意义这是一个宏,而且是无参数的宏。作用是注册新类。 之所以用`uvm_component_utils , 目的是为了兼容各种仿真工具。 类似的宏,还有`uvm_object_utils。用法class mycomp extends uvm_component;`uvm_component_utils(mycomp);...endclass

2017-01-28 21:19:15 6333 3

原创 uvm_config_db

功能意义命令解析class hierarchyclass定义methodsgetsetexistswait_modified细节注意功能意义uvm_config_db#(T) 是一个类,简化interface,用于配置uvm_component实例。命令解析class hierarchyuvm_config_db#(T)或者uvm_config_dbT就是待配置选项的type类

2017-01-28 18:58:56 7873

原创 《UVM实战》,一个简单实例的源代码理解

uvm树形结构图uvm验证平台uvm启动过程源代码理解top_tbvuvm树形结构图uvm验证平台uvm启动过程源代码理解:top_tb.v`timescale 1ns/1ps/* * 1. uvm package. include all uvm classes and macros. * 2. uvm systemverilog 固定写法。 */`include "uvm_macr

2017-01-27 11:50:54 22141

原创 管道命令和xargs的区别

管道命令和xargs的区别效果展示管道命令和xargs的区别管道命令,是把管道前面命令的输出结果,作为管道后面命令的“输入”。 个人理解,就当是把管道前面命令的输出结果,加上双引号,以字符串的形式,传递给管道后面命令。 如下命令,最终执行的是cat "--help"。echo "--help" | catxargs, 是把管道前面命令的输出结果,作为管道后面命令的“参数”。 如

2017-01-25 20:55:30 595

原创 perl读取二进制格式的bin文件

perl下读取二进制格式的bin文件步骤16进制数据的字节翻转处理工程中,板级系统通过IDE工具,dump出的数据格式是二进制的bin文件。 在linux下,直接cat命令,是乱码。 此时,如果有需求对该文件下的数据进行脚本处理;比如perl。可以参考下述方法。perl下读取二进制格式的bin文件步骤:open FILEHAND,"XXX.bin" 就是perl的读取文件命令binmod

2017-01-16 17:42:15 6573

原创 DC PowerCompiler 功耗相关的综合 userguide阅读笔记

Power Compiler介绍了解一下功耗相关的综合。Power Compiler介绍什么是Power Compiler? Power Compiler是synopsys DC综合工具的一个成员。可以执行RTL/门级功耗优化,也可以进行门级功耗分析。 优化措施包括: 1. clock-gating 2. multivoltage leakage(HVT/SVT/LVT 多种阈值电压器件?)

2017-01-12 15:24:15 10225

原创 linux shell下利用bc,实现任意进制的转换

大小写转换任意进制的相互转换内置多行文本的转换命令大小写转换cat 1.txt | tr "[a-z]" "[A-Z]"任意进制的相互转换(内置多行文本的转换命令)echo "ibase=16;obase=2;A" | bcbc是linux内置命令,是计算工具。bc的内部变量包括以下: 1. ibase是输入进制; 2. obase是输出进制; 3. scale是输出值设置为小数点后几位;例

2017-01-11 09:47:47 5758 2

原创 emacs org-mode插入excel实现的表格内容

excel用于写表格,还是很常用的。excel的表格内容,默认是用Tab键隔开。1 1 1 12 2 2 23 3 3 34 4 4 45 5 5 5org-mode适合写GTD/notes。 excel表格内容直接用于org-mode,其实有命令。操作如下: 1. 选中所有行; 2. c-c | ;对应命令的描述如下:

2017-01-09 21:14:59 2140

wmctrl 1.07

linux操作系统下,命令行下的窗口管理工具。 比如窗口焦点、窗口位置等。

2016-09-24

wmctrl-1.07.tar.gz

wmctrl是一个命令行工具,它完全通过命令行命令来控制窗口行为。 该工具,网络下载资源稀缺。

2016-09-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除