github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)

DigitalJS

  • https://github.com/tilk/digitaljs
  • 这个项目是一个用Javascript实现的数字电路模拟器。 它旨在模拟由硬件设计工具合成的电路 像 Yosys(这里是 Github 存储库),它有一个配套项目 yosys2digitaljs,它可以转换 Yosys 将文件输出到 DigitalJS。它也旨在成为一种教学工具, 因此,可读性和易于检查是 项目。

创建文件

  • SystemVerilog 提供了更多高级功能,尤其是在验证方面,使其在现代设计流程中更具优势。SystemVerilog 向后兼容 Verilog,这意味着现有的 Verilog 代码可以在 SystemVerilog 环境中运行,而无需大幅修改。
    在这里插入图片描述
  • 创建一个sv文件
    在这里插入图片描述
    在这里插入图片描述

编写代码

module adder (
    input logic [3:0] a,  // 4-bit 输入 a , logic用于替代 reg(寄存器,过程赋值)和 wire (线网,连续赋值)
    input logic [3:0] b,  // 4-bit 输入 b
    output logic [4:0] sum // 5-bit 输出 sum,考虑到可能的进位
);
    assign sum = a + b; // 进行加法运算
endmodule

在这里插入图片描述

Run

在这里插入图片描述

加载示例

在这里插入图片描述
在这里插入图片描述

edaplayground使用教程

注册账户

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

运行D触发器示例

在这里插入图片描述

可更换仿真工具

在这里插入图片描述

波形查看和分析

在这里插入图片描述

initial begin
  $dumpfile("dump.vcd"); $dumpvars;
  end

在这里插入图片描述

  • 5
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值