Verilog专题(三十一)Sequence Recognition(序列识别状态机)

HDLBits网址:https://hdlbits.01xz.net/wiki/Main_Page

 

题目

    数据帧发送涉及对数据的连续位(bit-stream)进行解码,以寻找指示帧(数据包)开始和结束的位标志(flag)。恰好看到6个连续的1(即01111110)是表示帧边界的“标志”。如果是连续5个1(即0111110) 则表示数据包的信号位丢失(discarded)。 如果连续7个或更多1,则表示需要发出错误信号(error)。以下是三个状态的介绍:

  • 0111110: Signal a bit needs to be discarded (disc).

  • 01111110: Flag the beginning/end of a frame (flag).

  • 01111111...: Error (7 or more 1s) (err).

Discard 0111110:

 

Flag 01111110:

 

Error 01111111...:

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值