IC 设计前端到后端的流程和 EDA 工具?

IC设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到 与工艺有关的设计就是后端设计。

1:规格制定:客户向芯片设计公司提出设计要求。

2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方 案和具体实现架构,划分模块功能。目前架构的验证一般基于 system C,仿真可以使用 system C 的仿真工具,CoCentric 和 Visual Elite 等。

3:HDL 编码:设计输入工具:ultra ,visual VHDL 等

4:仿真验证:modelsim 5:逻辑综合:synplify

6:静态时序分析:synopsys 的 Prime Time

7:形式验证:Synopsys 的 Formality.

最后:免费领取fpga教程学习资料包

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
IC设计是集成电路设计的缩写,是将若干个电子器件及互连线路集成在一起,制造成一个具备功能的电路芯片。IC设计是一个复杂的过程,一般从前端开始,经过多个步骤,最后完成后端设计。具体过程如下: 1. 概念设计(front-end design):在这个阶段,设计师会根据需求和指定系统架构,进行高层次的功能设计和分析,并作出电路的大致框架图和电路运行规划。 2. 电路设计(circuit design):在这个阶段,设计师会针对硬件不同方面的要求,使用各种电路设计技术和EDA工具,完成电路框图设计,例如模拟电路、数字电路、信号处理电路等。 3. 布局设计(layout design):在这个阶段,设计师根据电路设计结果,采用各种EDA软件完成电路版图设计,使电路中的每个元件和连线都被合理地布置在芯片的物理空间上。 4. 验证设计(verification design):在这个阶段,设计师会进行电路模拟和测试,以验证设计的正确性和可行性,一般包括电路分析、电气验证和时间验证等。 5. 物理实现(physical implementation):在这个阶段,设计师会采用EDA工具对芯片进行完整的电路物理布局设计、布线设计、各种电气约束、时序分析、形态分析等综合物理设计。 6. 核心文件生成与样片制造:在完成物理实现之后,需要使用集成电路加工技术进行样片制造,并生成相应的核心文件,以便最后的芯片生产工艺使用。 通过这些环节,IC设计才能真正形成完整的流程,不同环节的密切配合协作,是集成电路设计成功的基本要素。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值