26,Verilog-2005标准篇:条件运算符

条件运算符又称三元运算符,应为右关联运算符,使用三个操作数,由两个运算符分隔,格式如语法下所示:

条件运算符的运算应从expression1的真假判断开始,称为条件。如果条件求值为 false (0),则应求值expression3并将其作为条件表达式的结果。如果条件求值为 true (1),则对expression2进行求值并将其作为结果使用。如果条件求值为模糊值(x 或 z),则同时求值表达式2和表达式3,并使用下表1逐位合并它们的结果,计算最终结果,除非表达式2 或表达式3为实数,在这种情况下,结果应为0。如果表达式2和表达式3的长度不同,较短的操作数位宽将被加长以匹配较长的操作数,并从左侧(高阶端)开始填充零。

 表1:条件运算符的模糊条件结果

例如:下面的三态输出总线示例说明了条件运算符的常见用法:

当drive_busa为1时,数据的总线被驱动到busa上。如果drive_busa未知,则将未知值驱动到 busa 上。否则,总线不会被驱动。

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起系统学习verilog最终标准IEEE Std 1364-2005吧!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值