用ModelSim编写单个systemverilog程序并运行

初学者可能有困惑,编写一个简单的打印某句话的Verilog或者SV程序,并不涉及电路设计,纯粹为了学习语言基础,那么该怎么运行程序呢?
利用ModelSim运行调试程序,就像学习大多数其它语言一样,开始总有第一个程序 Hello World!
Hello.sv如下

module Hello_World;
    // define variables
	initial
	begin
		$display("Hello World! SystemVerilog");
	end
endmodule
  1. 打开ModelSim,就像大多数其它语言一样,第一步创建项目,File -> New -> Project…,然后加入源文件。如图
    加入的源文件,可以是module,也可以是program,如果是module的话模块名要和文件名相同,program则可以不同
    注意:下图中test2.sv和本文没有关系,只是笔者另一个实验的程序,读者忽略即可

  • 9
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值