Modelsim编写并运行SystemVerilog程序

  点击File-New-Project,输入Project Name,选择Project Location,其他保持默认,点击OK。弹出Add items to the project窗口,选择Create New File,在新弹出的窗口中输入File Name,选择Add file as type为SystemVerilog,点击OK。点击Project选项卡中的.sv文件,开始写。
  写完.sv文件,点击Compile-Compile All,如果编译成功,.sv文件的Status一栏变为绿色的勾,如果编译失败,则为红色的叉。
  编译成功后,在Project选项卡空白处右键Add to Project-Simulation Configuration…,输入Simulation Configuration Name,点击Design选项卡中的work前的“+”号,点击展开后的.sv文件名,它的Type为Module,此时Design Unit(s)会自动填入该文件的名字,点击Save。Project选项卡中会出现一项Type为Simulation的文件。右键该文件名,选择Execute,程序开始运行,出现sim选项卡和Objects选项卡。在Transcript选项卡中查看控制台输出。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值