Verilog HDL代码优化技巧

1、资源共享

资源共享主要是指在互斥(Mutually-Exclusive) 条件下(即不能同时运行)共享算术逻辑单元(Arithmetic Logic Unit)。

module test(a, b, c, sel, result);  //相同运算符没有资源共享
input [7:0) a, b, c;
input sel;
output [8:0] result;
reg [8:0] result;
always @ (a or b or sel)begin
if (sel)
	result = a + b;
else
	result = a + c;
end
endmodule

在没有使用资源共亨的情况下, 上面的代码综合出如下图所示的硬件结构,两条加法运算分别综合成两个独立的算术逻辑单元(加法器)。
在这里插入图片描述

modu1e test(a, b, c, sel, resu1c);   //相同运算符使用资源共享
input [7:0] a, b, c;
input sel;
output [8:0) result;
reg [8:0] result;
reg (7:0] temp;
a1ways @(a or b or C or sel)begin
	if (se1
  • 3
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值