FPGA设计——verilog实现乒乓操作并modelsim仿真

乒乓操作是FPGA设计中常用的一种技巧,它通过数据流控制实现按节拍相互配合的切换,来提高数据处理效率,达到无缝缓冲和处理的效果。本文针对乒乓操作进行学习总结。
完整工程

乒乓操作的原理

一、原理图如下

1、二选一控制器来对缓冲模块1和2进行选择。
2、数据缓冲模块一般就是SDRAM,FIFO等。
3、每一时刻如何工作:
clk1 时刻,输入数据data存入到mux1选择的缓冲1中。
clk2时刻,将data数据存在mux1 选择的缓冲2中,同时mux2选择缓冲1,将缓冲1中的数据送到后续处理中。
clk3时刻,mux1选通了缓冲1,将输入data存在缓冲1,同时mux2选择缓冲2,将其中的数据送到后续处理中
4、依次切换循环,从而实现乒乓操作。

在这里插入图片描述


二、波形表示
通过乒乓操作,我们即可通过节拍相互配合,最终实现数据的无缝缓冲与发送。
简单来看就是缓冲1写,则缓冲2读;缓冲2写,缓冲1读。
在这里插入图片描述

优点

1、 实现数据的无缝缓冲和处理;——按节拍相互配合进行切换

2、 可节约缓冲区空间;

3、 可实现低速模块处理高速模块。

乒乓操作的verilog实现

1、采用case语句来进行选择。
2、确定该模块的输入输出端口:
在这里插入图片描述
3、由于乒乓操作就是缓冲1写,缓冲2读;缓冲2写,缓冲1读,共这两种情况,采用state的高低电平进行选择。
其中高电平1表示写1读2,低电平0表示写2读1
4、verilog代码

module pingpong(
    input clk,
    input rst,
    input [7:0] data_in,
    output reg [7:0] data_out
);
	 
reg [7:0] buffer_1;
reg [7:0] buffer_2;
reg wr_flag1;                            //写标志,wr_flag1=0,buffer_2写;wr_flag1=1,buffer_1写
reg rd_flag2;                            //读标志,rd_flag2=0,buffer_1读;rd_flag2=1,buffer_2读
reg state;             // 1:写1读2,   0:写2读1
 
 
// 状态每一个clk,翻转一次(二分频)
always@(posedge clk or negedge rst) begin
    if (!rst)
        state<= 0;
    else
        state<= ~state;

end

//二选一多路器,原理图中的选择控制模块
always@(*)
begin
    case(state) 
        1'b0:   //buffer2写,buffer1读
        begin
            wr_flag1<=0;
            rd_flag2<=0;
        end
        1'b1://buffer1写,buffer2读
        begin
            wr_flag1<=1;
            rd_flag2<=1;
        end
        default:
        begin
            wr_flag1<=0;
            rd_flag2<=0;
        end
    endcase
end
 
//写缓存buffer数据
always@(posedge clk or negedge rst)
begin
    if(!rst)begin
        buffer_1<=8'b0;
        buffer_2<=8'b0;
    end
	 
    else begin
        case(wr_flag1) //根据写标志,判断往哪个缓冲中写数据
            0: buffer_2<=data_in;//此时,写buffer1保持上一时刻的数据
				
            1: buffer_1<=data_in;//此时,写buffer2保持上一时刻的数据
				
            default:begin
                buffer_1<=0;
                buffer_2<=0;
            end
        endcase
    end
end
//读缓存buffer数据
always@(posedge clk or negedge rst)
begin
    if(!rst)
        data_out<=8'b0;
    else
        case(rd_flag2)//根据读标志,判断读哪个缓冲中的数据
            0:data_out<=buffer_1; //读buffer_1的数据
				
            1:data_out<=buffer_2;
				
            default:data_out<=0;
        endcase
end
endmodule

tb测试:

`timescale 1ns / 1ps
module pingpong_operation_tb;
	reg clk;
	reg rst_n;
	reg [7:0] data_in;
	wire [7:0] data_out;
	
	pingpong_operation u_pingpong(
    .clk(clk),
    .rst_n(rst_n),
    .data_in(data_in),
    .data_out(data_out)
    );
	
	
initial begin
    clk = 0;
    rst_n = 0;
    #40 rst_n =1;
	 #100000;
	 $stop;
end
 
always #10 clk = ~clk; //一个ck为20ns

//产生输入激励
 
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        data_in<=0;
     else
        data_in<=data_in+1;
end
 	 
endmodule

波形如下:
state = 1 :buffer1写,读buffer2;
state = 0 :buffer2写,读buffer1;

读写标志:
state = 1 :wr_flag1 = 1;rd_flag2= 1;
state = 0 :wr_flag1 = 0;rd_flag2= 0;

写进哪个缓冲?
wr_flag1 = 1 : buffer_1<=data_in;此时,buffer_2保持上一时刻数据不变。
wr_flag1 = 0 : buffer_2<=data_in;此时,buffer_1保持上一时刻数据不变。

读哪个缓冲中的数据?
rd_flag2 = 0 : data_out<=buffer_1;
rd_flag2 = 1 : data_out<=buffer_2;
在这里插入图片描述

可看到与我们前面根据原理分析出的波形相同。

  • 11
    点赞
  • 122
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
好的,以下是一个万年历的FPGA课程设计Verilog代码和仿真: ``` module calendar( input clk, // 时钟信号 input reset, // 复位信号 output reg [3:0] year, // 年份 output reg [3:0] month, // 月份 output reg [4:0] day, // 日 output reg [2:0] week // 星期 ); // 闰年判断 wire leap_year = ((year % 4 == 0) && (year % 100 != 0)) || (year % 400 == 0); // 每月天数 reg [6:0] days_in_month; always @(*) begin case (month) 4, 6, 9, 11: days_in_month = 30; 2: days_in_month = leap_year ? 29 : 28; default: days_in_month = 31; endcase end // 日历计算 reg [5:0] day_count; reg [2:0] day_of_week; always @(posedge clk or posedge reset) begin if (reset) begin year <= 0; month <= 1; day <= 1; week <= 0; day_count <= 0; day_of_week <= 0; end else begin if (day_count == 0) begin day_count <= 1; day_of_week <= (day_of_week == 6) ? 0 : day_of_week + 1; if (day == days_in_month) begin day <= 1; if (month == 12) begin month <= 1; year <= year + 1; end else begin month <= month + 1; end end else begin day <= day + 1; end end else begin day_count <= day_count + 1; end week <= (day_count + day_of_week) % 7; end end endmodule ``` 在上面的代码中,我们使用了一个状态机来计算每一天的日期和星期。在每个时钟上升沿,我们检查当前是否需要更新日历,以及更新哪些日期和星期。我们还使用一个闰年标志来计算每个月的天数。最后,我们将日历输出到四个输出端口。 以下是一个简单的仿真测试,它显示了日历的输出。在仿真中,我们提供了一个时钟信号和一个复位信号,以便在仿真开始时将日历复位为1月1日。 ``` module calendar_tb; reg clk; reg reset; wire [3:0] year; wire [3:0] month; wire [4:0] day; wire [2:0] week; calendar dut( .clk(clk), .reset(reset), .year(year), .month(month), .day(day), .week(week) ); initial begin clk = 0; reset = 1; #10 reset = 0; end always #5 clk = ~clk; initial begin $monitor("%d/%d/%d %d", year, month, day, week); #100 $finish; end endmodule ``` 在上面的仿真测试中,我们使用了一个monitor语句来跟踪日历的输出,并在仿真完成时结束仿真。我们还提供了一个时钟和复位信号以启动仿真。 希望这个代码和仿真对您有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Fighting_FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值