Xilinx FIFO IP核仿真没有数据输出和空满信号错误的解决

     Xilinx FIFO IP核仿真没有数据输出和空满信号错误的解决

   工程:使用生成的IP核,调用Modelsim仿真,但是问题出现了,仿真没有数据输出和空满信号错误的解决,输出为高阻态'Z'。如下图:



如图,空empty、满full、dout数据不变化。


原因:

ISE自动生成了.do文件仿真,本放着生成的.do代码如下:


问题出现在24行。编译了FIFO_W8_D32.v,同时编译了FIFO_W8_D32_synth.v,两个具有相同的module名字,但FIFO_W8_D32_synth.v只有声明,没有实体,则只生成了一个FIFO_W8_D32,后生成的覆盖了先生成的库。



把24行注释了,则结果正确。  23行和24行顺序调换,结果正确。



从正确的仿真图可见,在FIFO写满以后继续写入,是无效的,可以从读数据输出得到验证。读空以后,数据继续读也是无效的,输出保持最后有效数据不变。内部自动进行了读写的空和满逻辑控制。

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值