HDL中的常用约束

1.    保持约束

1.1    keep

    保持线网约束

    格式:(* KEEP = "{TURE | FALSE}" * )

    //上述约束会作用于紧随其后定义的线网

    eg:(* KEEP = "TURE" *)

    wire    clk50MHz;


1.2    keep_hierarchy

    保持层级结构。

    eg:三模冗余


2.    乘法器的相关约束

2.1    use_dsp48

    使用use_dsp48这个约束语法,就可以不用通过调用IP核这样一个繁琐的步骤来完成。

    格式:(*use_dsp48 = "{auto|yes|no}" *)

    //上述约束会作用于紧随其后调用的尸体、模块或信号。

    eg:

2.2    mult_style

略(不太清楚)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值